TW201814786A - 電漿處理方法 - Google Patents

電漿處理方法 Download PDF

Info

Publication number
TW201814786A
TW201814786A TW106102963A TW106102963A TW201814786A TW 201814786 A TW201814786 A TW 201814786A TW 106102963 A TW106102963 A TW 106102963A TW 106102963 A TW106102963 A TW 106102963A TW 201814786 A TW201814786 A TW 201814786A
Authority
TW
Taiwan
Prior art keywords
plasma
gas
processing chamber
boron
film
Prior art date
Application number
TW106102963A
Other languages
English (en)
Other versions
TWI713683B (zh
Inventor
佐佐木惇也
角屋誠浩
Original Assignee
日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日立全球先端科技股份有限公司 filed Critical 日立全球先端科技股份有限公司
Publication of TW201814786A publication Critical patent/TW201814786A/zh
Application granted granted Critical
Publication of TWI713683B publication Critical patent/TWI713683B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本發明,係提供可抑制電漿蝕刻性能的變動的電漿處理方法。
本發明,係在處理室內對被配置含有金屬元素的膜的樣品進行電漿蝕刻的電漿處理方法中,使用含有硼元素的氣體而對前述處理室內進行電漿清潔,前述電漿潔淨後,使用電漿而除去前述硼元素,將前述硼元素除去後,使用含有氟元素的氣體而對前述處理室內進行電漿清潔,以前述含有氟元素的氣體而進行的電漿清潔後,透過使用含有矽元素的氣體而產生的電漿使堆積膜堆積於前述處理室內,前述堆積膜的堆積後,對前述樣品進行電漿蝕刻。

Description

電漿處理方法
本發明,係有關在使用電漿而進行半導體基板等的表面處理方面適合的電漿處理方法。
隨著半導體裝置的高性能化,關於被微細化的閘極構造,係在多晶矽電極與HfO2之間具有合理的功函數的金屬膜方面,運用氮化鈦(TiN)、鑭(La)等的金屬材料。
對包含如此的金屬材料(例如,TiN)的樣品進行蝕刻時,金屬材的殘渣(金屬殘渣)會堆積於腔室內壁使得存在難以透過電漿清潔而除去金屬材的殘渣的情況。在解決如此之問題的技術方面,已知如記載於專利文獻1般在預先對於蝕刻前的處理室內壁實施以含矽氣體而進行的塗佈後進行金屬材的蝕刻使得金屬殘渣不會直接堆積於處理室內壁的技術。
[先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2011-192872號公報
於現世代的裝置製造方面,係如專利文獻1般在對包含金屬材料的樣品進行電漿蝕刻後,實施以氯氣與三氯化硼氣體的混合氣體而進行的金屬清潔後實施將含矽膜除去的以三氟化氮氣體而進行的電漿清潔,使得可獲得無批次內變動,且穩定的期望的蝕刻處理結果。
然而,次世代的裝置製造的情況下,如專利文獻1般在對包含金屬材料的樣品進行電漿蝕刻後,進行實施以氯氣與三氯化硼氣體的混合氣體而進行的金屬清潔後實施將含矽膜除去的以三氟化氮氣體而進行的電漿清潔的連續處理時,發生如後述的圖6般TiN的蝕刻率隨著處理個數的增加而降低如此的問題。此現象係推定為因以下原因而發生。
如專利文獻1般在具有含有金屬元素的膜的被處理材料的蝕刻後實施以氯氣與三氯化硼氣體的混合氣體而進行的金屬清潔,接著在金屬清潔後進行以含氟氣體而進行的電漿清潔的電漿處理中,在處理室內生成氮化硼系的反應生成物而此反應生成物會殘留於腔室內。
殘留於此腔室內的含有硼元素的堆積物被帶入塗佈膜時,下個被處理材料的蝕刻時含有硼元素的堆積 物會游離而堆積於被處理材表面。此往被處理材表面的含有硼元素的堆積物的堆積使得蝕刻率降低而CD尺寸變動,或產生異物。
鑒於如此,生成於處理室內的氮化硼系的反應性生物會對TiN的蝕刻率造成影響,故需要抑制氮化硼系的反應性生物的生成。此外,要抑制氮化硼系的反應性生物的生成,係需要在以含有硼元素的氣體進行的金屬清潔與以含有氟元素而進行的電漿清潔之間將硼成分除去。然而,如此情形,係並未揭露及暗示於專利文獻1等的先前技術文獻。
鑒於如此情形,本發明係作成提供可抑制電漿蝕刻性能的變動的電漿處理方法。
本發明,係在處理室內對被配置含有金屬元素的膜的樣品進行電漿蝕刻的電漿處理方法中,具有以下程序:使用含有硼元素的氣體而對前述處理室內進行電漿清潔;使用前述含有硼元素的氣體而進行電漿清潔的程序後,使用電漿而除去前述硼元素;除去前述硼元素的程序後,使用含有氟元素的氣體而對前述處理室內進行電漿清潔;使用前述含有氟元素的氣體而進行電漿清潔的程序後,透過使用含有矽元素的氣體而產生的電漿使堆積膜堆積於前述處理室內;和使前述堆積膜堆積於處理室內的程序後,對前述樣品進行電漿蝕刻。
依本發明,使得可抑制電漿蝕刻性能的變動。
101‧‧‧處理室
102‧‧‧噴灑板
103‧‧‧介電體窗
105‧‧‧供氣裝置
106‧‧‧真空排氣口
107‧‧‧導波管
109‧‧‧電磁波產生用電源
110‧‧‧磁場產生線圈
111‧‧‧樣品台
112‧‧‧被處理材料
113‧‧‧匹配電路
114‧‧‧高頻電源
115‧‧‧濾波器
116‧‧‧直流電源
117‧‧‧冷媒用流路
118‧‧‧調溫器
119‧‧‧加熱器
120‧‧‧加熱器控制器
121‧‧‧溫度感測器
123‧‧‧分光器
124‧‧‧發光資料處理裝置
125‧‧‧真空排氣裝置
[圖1]使用於本發明的一實施例中的電漿處理裝置的構成的示意縱剖面圖。
[圖2]針對本發明的一實施例相關的被處理材料的構造的一例進行繪示的圖。
[圖3]針對本發明的一實施例的電漿處理方法進行繪示的流程圖。
[圖4]針對顯示本發明的效果的TiN膜的蝕刻率的變遷進行繪示的圖。
[圖5]針對圖3的電漿處理方法相關的BCl的發光強度時間變遷進行繪示的圖。
[圖6]針對歷來的電漿處理方法相關的TiN膜的蝕刻率的變遷進行繪示的圖。
[圖7]針對相對於歷來的電漿處理方法相關的被處理材料的處理個數的BCl的發光強度的變遷進行繪示的圖。
以下一面參照圖式一面說明本發明的一實施 例。
首先一面參照圖1一面說明有關使用於本發明的一實施例的電漿處理裝置。圖1,係以微波Electron Cyclotron Resonance(ECR)為電漿源的電漿蝕刻裝置的構成的示意縱剖面圖。
在具有大致圓筒形狀且上部被開放的處理室101之上部配置噴灑板102(例如,石英製或氧化釔製)與石英製的介電體窗103。另外,噴灑板102,係具有圓板狀,該圓板狀係供於導入蝕刻氣體用的複數個導入孔被以其中央部分為中心而均等地配置。
此外,於噴灑板102,係連接供於流放蝕刻氣體用的氣體供應裝置105,對噴灑板102之上方的與介電體窗103之間的空間從氣體供應裝置105供應處理用氣體,經由此空間並經由噴灑板102的導入孔而對處理室101內部供應處理用氣體。再者,在處理室101的下方的底部,係經由真空排氣口106而連接真空排氣裝置125。
另一方面,為了將供於生成電漿用的電力傳送至處理室101,在介電體窗103之上方係配置傳送電磁波的導波管107。往導波管107所傳送的電磁波,係被透過電磁波產生用電源109振盪而供應至該導波管107內部。本實施例中的電磁波方面使用2.45GHz的微波。此外,在處理室101的外周,係形成磁場的磁場產生線圈110被包圍處理室101而配置。
透過電磁波產生用電源109而振盪並經由導 波管107、介電體窗103及噴灑板102而傳送至處理室101內的電場,係由於與透過磁場產生線圈110而形成的磁場的相互作用,而使供應至處理室101內的處理用氣體解離而生成高密度的電漿。此外,對向於噴灑板102而在處理室101內的下部,係配置在上表面被載置是樣品的半導體製的被處理材料112的樣品台111。
樣品台111,係大致圓筒形狀,該樣品台上表面被由透過熱噴塗而噴上氧化鋁、氧化釔等的陶瓷材料的膜而形成的熱噴塗膜(圖示省略)而遮蓋。再者在熱噴塗膜的內部,係配置由金屬的構材所成的膜狀的電極(未圖示),此電極係被經由高頻濾波器115從直流電源116施加直流電壓。此外,在配置於樣品台111的內部的金屬製的塊體,係經由匹配電路113而連接高頻電源114,此塊體發揮作為高頻電極的作用。
此外,在樣品台111的內部的前述電極的塊體內,係配置被配置為同心圓狀或螺旋狀且供於對內部進行溫度調節用的媒體所流通的冷媒用流路117。冷媒用流路117,係經由配置於樣品台111的外部的管路而與調溫器118連接。此外,在前述電極的塊體內之上部,係配置加熱器119,此與加熱器控制器120連接。再者在樣品台111,係配置溫度感測器121,基於從溫度感測器121所輸出的信號而對以使樣品台111及被處理材料112的溫度成為期望的溫度的方式而控制加熱器控制器120及冷媒的溫度的調溫器118進行控制。
被處理材料112,係被透過未圖示的機械臂等的搬送裝置而載置於樣品台111之上表面後,透過從直流電源116所施加的直流電壓的靜電力而靜電吸附於樣品台111上的熱噴塗膜上。此情況下,在被處理材料112的背面與熱噴塗膜之間的空間係被供應具有熱導性的氣體而透過促進被處理材料112與樣品台111之間的熱的傳導使得被處理材料112的溫度被高速控制為所期望的溫度。由於此狀態,使得期望的處理用氣體被透過氣體供應裝置105而供應後,一面使處理室101內為既定的壓力一面在內部使電漿生成。
接著透過從連接於樣品台111的高頻電源114供應高頻電力,使得在熱噴塗膜上方形成偏壓電位而使離子被從電漿往被處理材料引入從而蝕刻被處理材料112。再者在處理室101之側壁,係連接檢測電漿處理中的發光的分光器123,從此分光器123所檢測出的輸出被發送至連接於分光器123的發光資料處理裝置124而透過發光資料處理裝置124內的運算器進行發光資料的解析等。
接著使用圖2說明有關本實施例中被電漿處理的被處理材料112的構造的概略。被處理材料112的構造,係如示於圖2般在矽基板(未圖示)上從上依序配置抗蝕遮罩201(Photo Resist Mask:PR Mask)、硬遮罩202、多晶矽(Poly-Si)膜203、金屬膜204(本實施例的情況下,TiN)、High-k膜(本實施例的情況下,HfO2)205。此處硬遮罩202,係使用碳、SiO2,SiN或SiON等 的材料或作成以此等為主材料的膜。
另外,金屬膜204的材料的種類及積層數、厚度,係在裝置構造以及NMOS部分及PMOS部分方面不同。此外,此等膜構造,係為了形成半導體裝置的電路,尤其為了形成閘極、配線等的構造,而要求被蝕刻而蝕刻為既定的形狀。
此外,於本實施例中,上述的各膜,係被依不同的蝕刻配方而處理。此外,遮罩部分,係需要在遮罩方面應付近年的微細加工的膜厚、蝕刻的抗性等的情況下,亦可在抗蝕膜201的下層使非晶碳(ACL)及硬遮罩202或混載該等的多層遮罩構造的遮罩作為本實施例的遮罩。此外,此處係省略有關透過蝕刻而進行的遮罩201的形成的說明。
接著一面參照圖3一面說明有關對於上述的被處理材料的電漿處理方法。
圖3,係針對被處理材料的電漿處理的流程進行繪示的流程圖。另外,在圖3,係以儲存於可收納被處理材料的盒子等的容器內的既定的數的被處理材料為1批次,示出從設為在按批次對於配置於處理室101的內部的構材的表面進行電漿處理方面適合的狀態下的蝕刻處理(步驟300)開始之例。此外,蝕刻處理,係如下處理:被處理材料112未被配置於處理室101內的狀態下,導入既定的氣體而形成電漿,將處理室101內部的構材表面的溫度、粗糙度、材質等調整為適合於之後所進行的被處理材 料112的電漿處理的狀態。
之後,於步驟301,NF3氣體與Ar氣體的混合氣體作為清潔用的氣體被導入處理室101內而形成電漿,進行除去在處理室101內部的表面所堆積、殘存的粒子、皮膜及堆積物的電漿清潔處理。另外,對第1批次的被處理材料進行處理的情況下,係無在處理室101內部的表面所堆積、殘存的粒子、皮膜及堆積物的狀態下對處理室101內部進行電漿清潔。接著在步驟302,進行使供於使蝕刻處理的特性穩定化用的後述的堆積膜堆積於進行電漿清潔處理而清淨的狀態下的處理室101的內表面的塗佈處理。
依本實施例的塗佈處理,使得配置於處理室101的內部的構材,例如處理室101的內側側壁的表面、樣品台111之上表面、側面等被由包含Si或SiO的材料而構成的堆積膜所遮蓋。此外,此塗佈處理,係為了以下目的而進行,亦即為了抑制由於處理室101內壁的狀態的變化而使得被處理材料112的處理的特性、結果等受到影響而造成蝕刻性能變動,因而在被處理材料112的處理前,將面對處理室101的電漿的內壁的表面以既定的材料的堆積膜進行遮蓋(塗佈)。
然而,對如前述的構造的膜進行蝕刻的情況下,依膜種而使用的蝕刻氣體系統不同,有時處理室101的內壁的堆積物的膜會消失,存在內壁曝露之虞。為此,將處理室101的內部的表面進行遮蓋的堆積膜(塗佈 膜),係對前述的膜構造的複數個膜進行蝕刻的情況下,堆積膜殘存於處理室101的內部的表面直到作為對象的膜的處理的完畢為止,優選上至少在上述膜的切換的步驟時殘存。
為此,在步驟302的塗佈處理,係預先予以堆積在被處理材料112的蝕刻處理中所消耗的膜厚以上的膜厚。再者在前述的膜構造的蝕刻處理中,係使用將含氟氣體、含氯氣體等作為處理用氣體進行供應而生成的電漿,故堆積膜係對於如此的電漿的電漿抗性需要為高。
尤其含有矽元素的堆積膜,係含有矽元素與氧元素的膜、及含有矽元素與碳元素的膜的電漿抗性為良好。在生成供於使如此的堆積膜堆積於處理室101內的構材的表面用的電漿的處理用氣體的氣體種類方面,例如,SiCl4氣體與O2氣體的混合氣體、或SiCl4氣體與CH4氣體的混合氣體為適。此外,即使於此等混合氣體添加Ar等的稀釋用氣體仍可形成同樣的堆積膜。
接著在步驟303,使用透過含有硼元素的氣體而生成的電漿而實施除去含有含於堆積膜且因金屬膜204的成分而產生的金屬的材料的金屬清潔的處理,進行殘存於處理室101內部的金屬含有物的除去。另外,對第1批次的被處理材料進行處理的情況下,於處理室101內部未殘存含有因金屬膜204的成分而產生的金屬的材料的狀態下實施金屬清潔。此外,含有硼元素的氣體,係BCl3氣體、BF3氣體、指BBr3氣體等的氣體。
一般而言,金屬,本實施例之情況下Ti系的反應生成物,係以Ti-O、Ti-F等的強固的結合而殘留,故難以僅以歷來的使用含氟氣體、Cl2氣體等的電漿處理進行除去。為此,上述的金屬清潔中,係在具有高還原性的氣體方面供應例如包含三氯化硼(BCl3)氣體等者。使展現此三氯化硼氣體等的還原性的氣體與氯(Cl)或氟(F)混合而供應至處理室101的內部而生成電漿,使得殘留於處理室101內的金屬含有材被除。
此外,如此的使用於金屬清潔處理的處理用氣體之例方面,係雖可考量HCl氣體、SiCl4氣體、BCl3氣體、CH4氣體與Cl2氣體的混合氣體或CH4氣體與包含F的氣體(SF6氣體、CF4氣體、CxHyFz氣體等)的混合氣體,惟尤其BCl3氣體與Cl2氣體的混合氣體,係Ti的清潔效果高,故在本實施例的金屬清潔處理中,使用BCl3氣體與Cl2氣體的混合氣體。
接著實施金屬清潔處理直到殘留於處理室101內的尤其與堆積膜的成分或被處理材料112的成分化合而存在於堆積膜內的金屬成分的物質的量充分減少為止後,於步驟304,使用氯氣而進行將殘存於處理室101內的含有硼元素的化合物進行除去的清潔。另外,對第1批次的被處理材料進行處理的情況下,含有硼元素的化合物,並非含有硼元素的氣體的成分與由於被處理材料的蝕刻而生成的反應性生物的化合物。
此外,除去此含有硼元素的化合物的清潔(除 硼處理),係實施直到殘留於處理室內的含有硼元素的化合物的量充分減少為止。再者可利用在此除硼處理中從電漿所獲得的發光從而檢測此除硼處理的終點。
接著此除硼處理(步驟304)後,於步驟305,進行供於除去殘留於堆積膜及處理室101內的其他反應生成物用的電漿清潔處理。另外,對第1批次的被處理材料進行處理的情況下,係處理室101內並未殘留反應生成物。此外,此電漿清潔,係與於步驟301中的電漿清潔同樣的電漿處理條件的電漿清潔。
此電漿清潔處理,係堆積膜為以包含Si的成分而構成的膜同時例如利用SiCl4氣體與O2氣體的混合氣體、或SiCl4氣體、O2氣體、Ar氣體的混合氣體而實施堆積膜形成的電漿處理的情況下,使用在成分方面含有氟(F)或含有氟(F)與氧(O)的氣體作為處理用氣體而生成電漿,利用此所生成的電漿而實施電漿清潔。在使用於此電漿清潔用的氣體方面,係例如SF6氣體、NF3氣體、此等與O2氣體的混合氣體等為適合。
接著在步驟306,步驟305中的電漿清潔完畢後,依與步驟302中的塗佈處理同樣的電漿處理條件而進行塗佈處理。此塗佈處理完畢後,於步驟307,使用未圖示的機械臂等的搬送手段而將被處理材料112往樣品台111載置。並且將被處理材料112往樣品台111載置後,依既定的電漿蝕刻條件而進行被處理材料112的蝕刻處理。此被處理材料112的蝕刻處理後,被處理材料112被 處理室101從搬出。
被蝕刻處理的被處理材料112被從處理室101搬出後,於步驟308,示於圖1的電漿處理裝置所具備的控制裝置(未圖示)基於接著處理的被處理材料112的存在有無的資訊而判定是否繼續被蝕刻材112的蝕刻處理。判定為存在接著應處理的被處理材料112的情況下,係為了進行下個被處理材料的蝕刻處理,返回步驟303,之後依序實施步驟304~308。
此外,無接著應處理的被處理材料112的情況下,為了使電漿處理中的批次處理完畢,於步驟309,實施與步驟303中的金屬清潔同樣的電漿處理條件的金屬清潔。此金屬清潔完畢後,於步驟310,實施與步驟304中的電漿清潔同樣的電漿處理條件的除去含有硼元素的化合物的電漿清潔。
最後除去含有此硼元素的化合物的電漿清潔完畢後,於步驟311,實施與步驟301及305中的電漿清潔同樣的電漿處理條件的電漿清潔。此電漿清潔完畢後,有時蝕刻處理後的被處理材料112在搬送之中途,故透過上述的控制裝置辨識為被處理材料112被收納於原盒子的原位置時,從控制裝置透過示於圖1的電漿處理裝置中所具備的顯示監視器、蜂鳴器、光等的報知手段(未圖示)而報知電漿處理中的批次處理的完畢。
接著將依示於上述的圖3的電漿處理的流程而蝕刻處理25個TiN膜的被處理材料時的各TiN膜的蝕 刻率的變遷示於圖4。依示於圖3的電漿處理的流程而進行電漿處理,使得如示於圖4般成為各TiN膜的蝕刻率的變遷為穩定的結果。
此外,圖5,係示出使用氯氣與三氯化硼氣體的混合氣體下的金屬清潔後的除去含有硼元素的化合物的電漿清潔(步驟304、310)時的BCl的發光強度時間變遷的圖。如示於圖5般BCl的發光強度,係實施除去含有硼元素的化合物的電漿清潔(步驟304、310),使得緊接著除去含有硼元素的化合物的電漿清潔實施後急劇減少,20~30秒內大致上已未檢測到。
另一方面,依照從示於圖3的電漿處理流程將「除去含有硼元素的化合物的電漿清潔(步驟304、310)」去除的電漿處理的流程而蝕刻處理25個TiN膜的被處理材料時的各TiN膜的蝕刻率的變遷,係如示於圖6般TiN膜的蝕刻率隨著TiN膜的被處理材料的處理個數的增加而減少。
此外,TiN膜的被處理材料的蝕刻處理中的被處理材料的相對於處理個數的BCl的發光強度的變遷,係如示於圖7般BCl的發光強度傾向於隨著TiN膜的被處理材料的處理個數的增加而增加。從此圖6及7的結果可得知,TiN膜的被處理材料的蝕刻後的金屬清潔後,副生出氧化硼(B2O3)、氮化硼(BN)等的含有硼元素的化合物,逐漸堆積於TiN膜的被處理材料上使得TiN的蝕刻率降低。
從圖4~7的結果可得知相對於處理個數的TiN膜的蝕刻率的變遷,係可看出與BCl的發光強度相關。藉此,得知要使相對於處理個數的TiN膜的蝕刻率穩定化,係在對被配置含有金屬元素的膜的被處理材料進行蝕刻前將殘留於處理室內的含有硼元素的化合物的除去為重要。再者,亦得知在相對於處理個數的TiN膜的蝕刻率的穩定化方面「將含有硼元素的化合物除去的電漿清潔(步驟304、310)」為有效。
如上所述,透過實施示於圖3的電漿處理,使得按被處理材各者在處理室101內部的構材的表面的狀態被復原,被調整為適合於處理者,故再現性佳、異物的產生少的處理成為可能。再者透過本實施例,使得處理室101內部的清淨、構材交換等定期的維護前後及批次間的處理室101內部的變異性受到抑制,故再現性佳的電漿處理成為可能。此外,透過本實施例,使得處理室101內部的殘留物所造成的對於電漿處理的不良影響被減低,處理室101內部的氣體的分壓、內部的構材的表面的狀態等被穩定化,故電漿處理的速度等的特性的變動受到抑制使得可抑制加工的結果方面的形狀的變動,可使蝕刻加工的精度提升。
如此依本實施例,即可抑制處理室101的內壁的狀態隨著處理的個數的增大或隨著處理的進行而歷時變化所造成的不良影響、從處理室101內壁的異物的產生、被處理材料112的處理的結果的均勻性的降低、處理 的速度、加工形狀的再現性等特性等產生變動。藉此,可使蝕刻處理的再現性、良率等提升。
此外,在本實施例雖在電漿源方面使用微波ECR電漿,惟透過使用感應耦合型電漿、電容耦合型電漿、螺旋波電漿等的電漿源的電漿處理裝置而進行的電漿處理中亦可應用本發明。
再者在本實施例,係「除去含有硼元素的化合物的電漿清潔(步驟304、310)」中,雖說明有關使用Cl2氣體的情況,惟在本發明方面,除了Cl2氣體以外為SiCl4氣體、HCl氣體等的含氯氣體即可。此外,在本實施例,雖說明使用含有TiN膜的被處理材料作為被處理材料,惟在本發明方面,為被配置含有Ti、Ta、Mo、Re等的金屬元素的膜的被處理材料即可。

Claims (3)

  1. 一種電漿處理方法,在處理室內對被配置含有金屬元素的膜的樣品進行電漿蝕刻,特徵在於:具有以下程序:使用含有硼元素的氣體而對前述處理室內進行電漿清潔;使用前述含有硼元素的氣體而進行電漿清潔的程序後,使用電漿而除去前述硼元素;除去前述硼元素的程序後,使用含有氟元素的氣體而對前述處理室內進行電漿清潔;使用前述含有氟元素的氣體而進行電漿清潔的程序後,透過使用含有矽元素的氣體而產生的電漿使堆積膜堆積於前述處理室內;和使前述堆積膜堆積於處理室內的程序後,對前述樣品進行電漿蝕刻。
  2. 如申請專利範圍第1項之電漿處理方法,其中,供於除去前述硼元素用的電漿,係使用氯氣而生成。
  3. 如申請專利範圍第2項之電漿處理方法,其中,前述含有硼元素的氣體,係三氯化硼氣體,前述含有氟元素的氣體,係三氟化氮氣體,前述含有矽元素的氣體,係四氯化矽氣體。
TW106102963A 2016-09-16 2017-01-25 電漿處理方法 TWI713683B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016181133A JP6630649B2 (ja) 2016-09-16 2016-09-16 プラズマ処理方法
JP2016-181133 2016-09-16

Publications (2)

Publication Number Publication Date
TW201814786A true TW201814786A (zh) 2018-04-16
TWI713683B TWI713683B (zh) 2020-12-21

Family

ID=61620651

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106102963A TWI713683B (zh) 2016-09-16 2017-01-25 電漿處理方法
TW109114199A TWI771674B (zh) 2016-09-16 2017-01-25 電漿處理方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW109114199A TWI771674B (zh) 2016-09-16 2017-01-25 電漿處理方法

Country Status (4)

Country Link
US (1) US11742214B2 (zh)
JP (1) JP6630649B2 (zh)
KR (1) KR101941064B1 (zh)
TW (2) TWI713683B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102490700B1 (ko) * 2017-03-27 2023-01-26 주식회사 히타치하이테크 플라스마 처리 방법
JP2020041206A (ja) * 2018-09-13 2020-03-19 キオクシア株式会社 基板処理装置および半導体装置の製造方法
JP7422557B2 (ja) * 2019-02-28 2024-01-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
TW202046395A (zh) 2019-02-28 2020-12-16 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置
JP7241627B2 (ja) * 2019-07-05 2023-03-17 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
JP7333758B2 (ja) * 2020-01-23 2023-08-25 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7078792B2 (ja) * 2020-02-10 2022-05-31 株式会社日立ハイテク プラズマ処理方法
CN114097064A (zh) 2020-06-25 2022-02-25 株式会社日立高新技术 真空处理方法
JP7374058B2 (ja) * 2020-09-18 2023-11-06 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
CN115699265A (zh) 2021-05-27 2023-02-03 株式会社日立高新技术 等离子处理装置
KR20230001008A (ko) 2021-06-21 2023-01-03 주식회사 히타치하이테크 플라스마 처리 장치
CN113846312A (zh) * 2021-08-30 2021-12-28 北京北方华创微电子装备有限公司 一种降低半导体设备工艺腔室内金属污染的方法
CN117223091A (zh) * 2022-04-11 2023-12-12 株式会社日立高新技术 等离子处理方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3099441B2 (ja) 1991-08-08 2000-10-16 日本電気株式会社 特殊材料ガスの供給方法
JPH08319586A (ja) 1995-05-24 1996-12-03 Nec Yamagata Ltd 真空処理装置のクリーニング方法
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
JP3307239B2 (ja) * 1996-09-02 2002-07-24 株式会社日立製作所 プラズマクリーニング方法
JP3684797B2 (ja) 1997-12-04 2005-08-17 株式会社デンソー 気相成長方法および気相成長装置
JP2000150387A (ja) 1998-11-18 2000-05-30 Applied Materials Inc 配管系構造及び配管系ユニット
JP4359965B2 (ja) 1999-07-27 2009-11-11 東京エレクトロン株式会社 成膜装置
WO2001071790A1 (fr) * 2000-03-17 2001-09-27 Hitachi, Ltd. Procede de fabrication d'un dispositif a semi-conducteur
US20030005943A1 (en) * 2001-05-04 2003-01-09 Lam Research Corporation High pressure wafer-less auto clean for etch applications
US6815362B1 (en) * 2001-05-04 2004-11-09 Lam Research Corporation End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US20040235303A1 (en) * 2001-05-04 2004-11-25 Lam Research Corporation Endpoint determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US20040014327A1 (en) 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6841141B2 (en) 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
JP4810355B2 (ja) 2006-08-24 2011-11-09 富士通セミコンダクター株式会社 処理ガス供給方法、基板処理方法、半導体装置の製造方法、処理ガス供給装置、基板処理装置、および記録媒体
JP2008060171A (ja) * 2006-08-29 2008-03-13 Taiyo Nippon Sanso Corp 半導体処理装置のクリーニング方法
US20100124583A1 (en) 2008-04-30 2010-05-20 Xyleco, Inc. Processing biomass
JP5213868B2 (ja) * 2007-09-19 2013-06-19 株式会社日立国際電気 クリーニング方法及び基板処理装置
JP2011100820A (ja) 2009-11-05 2011-05-19 Hitachi Kokusai Electric Inc 基板処理装置
KR101630234B1 (ko) 2009-11-17 2016-06-15 주성엔지니어링(주) 공정챔버의 세정방법
KR20130004238A (ko) 2009-11-27 2013-01-09 가부시키가이샤 한도오따이 에네루기 켄큐쇼 액정 표시 장치
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5530794B2 (ja) * 2010-04-28 2014-06-25 株式会社日立ハイテクノロジーズ 真空処理装置及びプラズマ処理方法
US9533332B2 (en) * 2011-10-06 2017-01-03 Applied Materials, Inc. Methods for in-situ chamber clean utilized in an etching processing chamber
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
JP6275610B2 (ja) * 2014-09-26 2018-02-07 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP5853087B2 (ja) * 2014-11-27 2016-02-09 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP6548484B2 (ja) 2015-07-01 2019-07-24 東京エレクトロン株式会社 プラズマ処理装置およびそれに用いる排気構造
JP6169666B2 (ja) 2015-10-20 2017-07-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP6499980B2 (ja) * 2016-01-04 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理方法

Also Published As

Publication number Publication date
JP6630649B2 (ja) 2020-01-15
TW202034399A (zh) 2020-09-16
KR101941064B1 (ko) 2019-01-23
US11742214B2 (en) 2023-08-29
TWI713683B (zh) 2020-12-21
TWI771674B (zh) 2022-07-21
KR20180030742A (ko) 2018-03-26
JP2018046216A (ja) 2018-03-22
US20180082855A1 (en) 2018-03-22

Similar Documents

Publication Publication Date Title
TWI713683B (zh) 電漿處理方法
US6379575B1 (en) Treatment of etching chambers using activated cleaning gas
KR101209534B1 (ko) 플라즈마 처리 시스템에서 부산물의 퇴적물의 감소를 위한 방법 및 장치
KR101214505B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
KR100887906B1 (ko) 에칭 적용을 위한 고압력 웨이퍼리스 자동 세정
US20090159209A1 (en) Plasma etching method and plasma etching apparatus
US20040014327A1 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US9960049B2 (en) Two-step fluorine radical etch of hafnium oxide
TWI442468B (zh) Plasma processing device and plasma processing method
US10688538B2 (en) Aluminum fluoride mitigation by plasma treatment
US20140299576A1 (en) Plasma processing method and plasma processing apparatus
JP6275610B2 (ja) プラズマ処理方法およびプラズマ処理装置
JP2008060171A (ja) 半導体処理装置のクリーニング方法
JP4515956B2 (ja) 試料のエッチング方法
JP6763750B2 (ja) 被処理体を処理する方法
US20170200590A1 (en) Hydrogen plasma based cleaning process for etch hardware
JP4676222B2 (ja) プラズマ処理装置
JP2015088696A (ja) プラズマ処理方法
CN111725062B (zh) 膜的蚀刻方法和等离子体处理装置
JP3727312B2 (ja) プラズマ処理装置のプラズマ処理方法
JP2009260091A (ja) プラズマ処理装置のシーズニング方法
JP2003309106A (ja) プラズマ処理装置