TW201807492A - 矽倍半氧烷樹脂及胺組成物 - Google Patents

矽倍半氧烷樹脂及胺組成物 Download PDF

Info

Publication number
TW201807492A
TW201807492A TW106114418A TW106114418A TW201807492A TW 201807492 A TW201807492 A TW 201807492A TW 106114418 A TW106114418 A TW 106114418A TW 106114418 A TW106114418 A TW 106114418A TW 201807492 A TW201807492 A TW 201807492A
Authority
TW
Taiwan
Prior art keywords
independently
subscript
alkyl
heptane
sesquisesquioxane
Prior art date
Application number
TW106114418A
Other languages
English (en)
Inventor
鵬飛 傅
張元凡
Original Assignee
道康寧公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 道康寧公司 filed Critical 道康寧公司
Publication of TW201807492A publication Critical patent/TW201807492A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/21Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/045Polysiloxanes containing less than 25 silicon atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/04Oxygen-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/16Nitrogen-containing compounds
    • C08K5/17Amines; Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/16Nitrogen-containing compounds
    • C08K5/34Heterocyclic compounds having nitrogen in the ring
    • C08K5/35Heterocyclic compounds having nitrogen in the ring having also oxygen in the ring
    • C08K5/353Five-membered rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2012Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image using liquid photohardening compositions, e.g. for the production of reliefs such as flexographic plates or stamps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • G03F7/2039X-ray radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/70Siloxanes defined by use of the MDTQ nomenclature

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Silicon Polymers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一種含矽倍半氧烷之組成物,其包含矽倍半氧烷樹脂及具有式(II)之

Description

矽倍半氧烷樹脂及 胺組成物
本發明大致上關於一種含矽倍半氧烷之組成物,其包含矽倍半氧烷樹脂及胺;由該組成物製備之產物;光阻劑組成物,其包含該含矽倍半氧烷之組成物及光酸產生劑;由該光阻劑組成物製備之產物;製備並使用其等之方法;以及含有其等之製成物品及半導體裝置。
許多光/電裝置之關鍵特徵包括圖案或積體電路(IC)。圖案及IC可藉由將圖案從一光阻劑層轉移至一基材諸如一半導體材料或一導電材料來製成。光阻劑層包含光阻劑組成物,其包含光敏感性材料。光阻劑層中之圖案係使用利用紫外(UV)光的光微影術來形成且使用蝕刻來轉移。
為了製造更強大、更小、且更快速的新的光/電裝置,這些圖案或IC必須以更小且更精細的特徵大小(更精細的解析度)製成。實現更精細的圖案解析度之一種方式係使用較短波長的光。趨勢係將波長從365奈米(nm)縮短至248nm(KrF)至193nm(ArF)。最終,波長可係157nm(F2)及/或在13nm下的極紫外光(EUV)。然 而,在一特定波長下可使用的光阻劑組成物可能無法在較短波長下反應。另一方式係形成多層抗蝕劑代替單層抗蝕劑。因為圖案變得更精細,且因此圖案長寬比變得更大,單層抗蝕劑有塌陷的風險。對於給定厚度的光阻劑層,多層抗蝕劑可實現具有較高長寬比的圖案。又另一方式係調配化學可放大的光阻劑組成物,其幫助在較短波長的光下抵消較微弱的響應。一般而言,使用這些方式之組合。
化學可放大的光阻劑組成物包含酸敏感性的光阻劑聚合物及少量的光酸產生劑。酸敏感性光阻劑聚合物包含巨分子鏈,其帶有光敏感性側基,有時稱為酸可解離基團、酸可裂解基團、或酸易變基團。光酸產生劑(PAG)本身非係酸,但是PAG係一種吸收具有某一波長的光就地產生產物酸的化合物。一些化學可放大光阻劑組成物可進一步與一或多種可選的添加劑一起調配,該一或多種可選的添加劑增強所欲的組成物性質或抑制非所欲的組成物性質。此類添加劑之實例係:酸可裂解溶解抑制劑、交聯劑(例如,在負光阻劑組成物中)、溶劑、染料、敏化劑、穩定劑(例如,擱置壽命穩定劑)、酸擴散控制劑、塗佈助劑諸如界面活性劑或防沫劑、助黏劑、及塑化劑。
多種在用的化學可放大光阻劑組成物係已知的。一些組成物係基於包含酸敏感性基團的有機聚合物。其他組成物係基於包含酸敏感性基團的有機矽氧烷聚合物。並非所有在用的組成物能夠使用較短波長的光或產生令人滿意的圖案。
具有酸可裂解基團的在用的矽倍半氧烷樹脂提及於R.Soorlyakumaran等人之US 7,261,992 B2中 (「SOORLYAKUMARAN」)。除了別的以外,SOORLYAKUMARAN提及用於微影光阻劑組成物之氟甲醇官能化及/或氟酸官能化矽倍半氧烷聚合物及共聚物。SOORLYAKUMARAN亦提及以下組成物,其中氟甲醇官能化及/或氟酸官能化矽倍半氧烷聚合物係氟甲醇官能化矽倍半氧烷單體及經酸可裂解基團取代之矽倍半氧烷單體之共聚物。組成物可進一步包含諸如以下之添加劑:酸可裂解溶解抑制劑、交聯劑、溶劑、染料、敏化劑、用作穩定劑及酸擴散控制劑之添加劑、塗佈助劑諸如界面活性劑或防沫劑、助黏劑、及塑化劑。用作穩定劑及酸擴散控制劑之添加劑之實例係具有不同鹼度之化合物。這些可包括含氮化合物諸如脂族一級、二級、及三級胺、環胺諸如哌啶、嘧啶、嗎啉、芳族雜環諸如吡啶、嘧啶、嘌呤、亞胺諸如二吖雙環十一烯、胍、醯亞胺、醯胺、及其他化合物。
具有酸可裂解基團的在用的矽倍半氧烷樹脂描述於S.Hu等人之US 7,625,687 B2(「HU1」);S.Hu等人之US 8,088,547 B2(「HU2」);S.Hu等人之US 8,148,043 B2(「HU3」);及S.Hu等人之US 8,524,439 B2(「HU4」)中。除了別的以外,HU1、HU2、HU3、及HU4獨立地描述含有(HSiO3/2)單元及(RSiO3/2)單元之矽倍半氧烷樹脂,其中R係酸可解離基團。酸可解離基團(R)可由式-(R3)g-L-(R3)h-C(R5)(R6)---(R4)kZ或通式-(R3)f-L-(R3)g-C(R5)(R6)---(R4)hZ描述。HU3亦提及以矽倍烷氧烷為基礎之組成物,其包含矽倍半氧烷樹脂及選自以下之有機鹼添加劑:大型三級胺、醯亞胺、醯胺、及聚合胺。有機鹼添加劑含有吸電子基團,條件是有機鹼非係7- 二乙胺基-4-甲基香豆素。一些有機鹼含有側氧基(=O)基團。HU4之組成物包括7-二乙胺基-4-甲基香豆素。其他添加劑可用於光阻劑組成物,包括以下之一或多者:溶劑、酸產生劑、界面活性劑、溶解抑制劑、交聯劑、敏化劑、成暈現象抑制劑、助黏劑、儲存穩定劑、防沫劑、塗佈助劑、塑化劑、及其他添加劑。
一些在用的樹脂面臨著達成令人滿意的結構特徵及性能的挑戰。例如,大部分在用的矽倍半氧烷樹脂具有低熱穩定性(即,低玻璃轉移溫度,Tg)。其等亦難以組態成具有其他性質,包括精細的圖案解析度、高的光靈敏度、及寬的製程寬容度(改變製程條件之容許度)。一些在用的矽倍半氧烷樹脂在UV光曝露(例如,在193nm下)逸出氣體。一些在用的組成物含有傷害其等性能的添加劑。
理想地,抗蝕劑影像具有帶有所欲筆直側的垂直特徵。筆直垂直側可定義抗蝕劑層內之孔或溝(例如,_)。結果為具有截面輪廓之垂直特徵,其有利地係具有彎曲角頂或方形角頂()的I-形。然而我們發現含有某些現有胺添加劑的現有光阻劑組成物,產生具有垂直特徵的抗蝕劑影像,其截面輪廓在頂部具有非所欲的突出或邊緣。結果為具有截面輪廓之垂直特徵,其係T-形(TT)。當顯影劑在垂直特徵的頂部溶解少於垂直特徵底部的材料時,形成T-形截面輪廓。相較於蝕刻具有彎曲角頂或方形角頂之I-形截面輪廓垂直特徵之抗蝕劑影像,蝕刻具有邊緣之頂部的T-形截面輪廓垂直特徵之抗蝕劑影像,在將抗蝕劑影像轉移至下層基材之效果較差。
不受理論的束縛,我們相信相較於抗蝕劑層之經遮罩照射部分的較深區域,當抗蝕劑層之經遮罩曝露(經遮罩照射)部分的表面區域變得較少溶解或較慢溶解於顯影劑(例如,水性鹼)中時,形成具有T形截面輪廓垂直特徵之抗蝕劑影像。我們認為經表面定位之現有胺接收到高能量或較短波長的輻射時,以某種方式促進了抗蝕劑層表面的溶解(solubility)或溶離(dissolution)動力學的這種變化,而位於抗蝕劑層中更大深度的現有胺沒有影響或較少影響。例如,現有胺可能有助於矽樹脂在抗蝕劑層表面的一些交聯。
我們已經發現含有矽倍半氧烷樹脂及式(II)(稍後描述)之胺的含矽倍半氧烷之組成物解決了一個或多個上述問題,例如T形截面輪廓問題。本發明之實施例包括:含矽倍半氧烷之組成物;由該組成物製備之產物;光阻劑組成物,其包含該含矽倍半氧烷之組成物及光酸產生劑;由該光阻劑組成物製備之產物;製備並使用其等之方法;以及含有其等之製成物品及半導體裝置。含矽倍半氧烷之組成物可用於製備光阻劑組成物。在光微影術圖案化之方法中,光阻劑組成物可用作單層光阻劑之層或用作多層光阻劑之層。含矽倍半氧烷之組成物亦可用於其他非係光微影術之光相關應用,諸如抗反射塗層或光學封裝材料。含矽倍半氧烷之組成物亦可用於不採用光的「暗(dark)」應用中,諸如黏著劑、塗層、及密封劑。含矽倍半氧烷之組成物可用於製造非電子學應用之製成物品,且該組成物可用於非電子學物品及裝置中。
1‧‧‧基材/矽倍半氧烷樹脂
2‧‧‧下層
3‧‧‧光阻劑組成物
4‧‧‧潛在圖案
5‧‧‧溝槽
6‧‧‧溝槽
7‧‧‧溝槽
10‧‧‧下層-在基材上
20‧‧‧雙層抗蝕劑-在基材上
26‧‧‧雙層抗蝕劑
30‧‧‧經遮罩-照射之抗蝕劑-在基材上
40‧‧‧經顯影之抗蝕劑-在基材上/經顯影之抗蝕劑
50‧‧‧經電漿蝕刻之抗蝕劑-在基材上/經電漿蝕刻之抗蝕劑
60‧‧‧經鹵素蝕刻之基材
70‧‧‧圖案化結構
本發明之某些實施例繪示於隨附圖式中。圖式對於相同的元件及特徵保持相同數字。
圖1(FIG.1)係基材實施例之立視圖。
圖2係下層-在基材上實施例之立視圖。
圖3係雙層抗蝕劑-在基材上實施例之立視圖。
圖4係經遮罩-照射之抗蝕劑-在基材上實施例之立視圖。
圖5係經顯影之抗蝕劑-在基材上實施例之立視圖。
圖6係經電漿蝕刻之抗蝕劑-在基材上實施例之立視圖。
圖7係經鹵素蝕刻之基材實施例之立視圖。
圖8係圖案化結構實施例之立視圖。
圖9係製造圖案化基材實施例之製程之步驟之方案。
圖10係發明性抗蝕劑影像實施例的抗蝕劑影像線截面輪廓的一系列黑白照片。
圖11(非發明)係非發明抗蝕劑影像的抗蝕劑影像線截面輪廓的一系列黑白照片。
發明內容及摘要以引用方式併入本文中。本發明在本文中係以說明性方式藉由揭示複數個代表性、非限制性實施例及實例而描述。
含矽倍半氧烷之組成物包含具有式(I)之(A)矽倍半氧烷樹脂(稍後描述),及具有式(II)之(B)胺(稍後描述)。含矽倍半氧烷之組成物可由成分(A)及(B)組成(即,0種可選成分),或可包含成 分(A)、(B)、及1、2、3、或更多種後文所述之可選成分(例如,(C)光酸產生劑及/或(D)溶劑)。含矽倍半氧烷之組成物可藉由將成分(A)及(B)以及(若需要)其他可選成分混合在一起來製備。含矽倍半氧烷之組成物可製備成一部分配方或多部分配方諸如兩部分配方。一部分配方可對具有冷及/或暗儲存容量的終端使用者及/或希望就在使用之前避免將多個部分混合在一起的使用者有吸引力。多部分配方諸如兩部分配方可對缺乏冷及/或暗儲存容量的終端使用者及/或希望就在使用之前將多個部分混合在一起是使用者有吸引力。
光阻劑組成物包含具有式(I)之(A)矽倍半氧烷樹脂,具有式(II)之(B)胺,及(C)光酸產生劑。在光阻劑組成物中具有式(II)之(B)胺之莫耳量可小於(C)光酸產生劑之莫耳量。光阻劑組成物可由成分(A)、(B)、及(C)組成(即,0種可選成分),或可包含成分(A)、(B)、(C)、及1、2、3、或更多種後文所述之可選成分(例如,(D)溶劑)。光阻劑組成物可藉由將成分(A)、(B)、(C)、及任何可選成分混合在一起來製備。或者,光阻劑組成物可藉由將含矽倍半氧烷之組成物、成分(C)、及任何可選成分混合來製備。光阻劑組成物可製備成一部分配方或多部分配方諸如兩部分配方。
含矽倍半氧烷之組成物及光阻劑組成物可分別獨立地製備成包含含矽倍半氧烷之組成物或光阻劑組成物之製成物品。製備可包含將各別組成物成形。
含矽倍半氧烷之組成物及光阻劑組成物可分別用於光/電裝置中,其包含光/電組分及含矽倍半氧烷之組成物或光阻劑組成 物。光/電組分可係光組分、電組分、或光及電組分之組合。含矽倍半氧烷之組成物及光阻劑組成物可設置成直接或間接接觸光/電組分。
本發明之一些實施例包括以下編號態樣。
態樣1.包含(A)矽倍半氧烷樹脂及(B)胺之含矽倍半氧烷之組成物,其中該(A)矽倍半氧烷樹脂具有式(I):[HSiO3/2]t1[Z-L-SiO3/2]t2[H(R1O)SiO2/2]d[(R1O)xSiO(4-x)/2]y[R2SiO3/2]t3(I),其中:下標t1係0.4至0.9之莫耳分率;下標t2係0.1至0.6之莫耳分率;下標d係0至0.45之莫耳分率;下標x係1、2、或3之整數;下標y係0至0.25之莫耳分率;下標t3係0至0.15之莫耳分率;t1+t2之總和=自0.9至1,且t1+t2+d+y+t3之總和=1;各R1獨立地係H或(C1-C6)烷基;各R2獨立地係HO-L-或HOOC-L-;各L獨立地係二價(C1-C20)烴基,其係未經取代或經至少1個取代基取代,該取代基獨立地選自(C1-C3)烷基、-OH、及氟原子至多全氟取代且包括全氟取代;且各Z係-OH、-COOH、-O-THP、-OCH(R3a)2、-OC(R3b)3、-COOCH(R3a)2、-COOC(R3b)3、-OCOOCH(R3a)2、或-OCOOC(R3b)3,其中THP係四氫哌喃-2-基;其中各R3a獨立地係(C1-C6)烷基、(C3-C12)環烷基、(C6-C10)芳烷基、((C1-C6)烷基)3SiCH2CH2-,或2個R3a與彼等所鍵結之碳原子一起係(C3-C12)環烷基或(C6-C12)雙環烷基;且其中各R3b獨立地係(C1-C6)烷基、(C3-C12)環烷基、(C6-C10)芳烷基、((C1-C6)烷基)3SiCH2CH2-;或2個R3b與彼等所鍵結之碳原子一起係(C3-C12)環烷基或(C6-C12)雙環烷基,且剩餘的R3b獨立地係(C1-C6)烷基、(C3-C12)環烷基、(C6-C10)芳烷基、或((C1-C6)烷基)3SiCH2CH2-; 或所有3個R3b與彼等所有所鍵結之碳原子一起係(C7-C12)雙環烷基;且該(B)胺具有式(II):RN (3-n)N-[(CH2CH(R4)O)m-R5]n(II),其中:下標m為1至10之整數;下標n係1、2、或3之整數;各RN獨立地係未經取代之(C1-C12)烷基;各R4獨立地係H或未經取代之(C1-C12)烷基;且各R5獨立地係H或(C1-C12)烷基,其係未經取代或獨立地經1、2、或3個(C1-C12)烷氧基取代。
態樣2.如態樣1之含矽倍半氧烷之組成物,其中在該(A)矽倍半氧烷樹脂中:下標t1係0.4至0.65之莫耳分率;下標t1係0.65至0.9之莫耳分率;下標t2係0.1至0.35之莫耳分率;下標t2係0.5至0.6之莫耳分率;下標d係0;下標d係自>0至0.45之莫耳分率;下標x係1;下標x係2;下標x係3;下標y係0;下標y係自>0至0.25之莫耳分率;下標t3係0;下標t3係自>0至0.15之莫耳分率;至少一個R1係H;下標d係自>0至0.45之莫耳分率,或下標y係自>0至0.25之莫耳分率,且至少一個R1係H;至少一個R1獨立地係(C1-C6)烷基;下標d係自>0至0.45之莫耳分率,或下標y係自>0至0.25之莫耳分率,且至少一個R1係(C1-C6)烷基;至少一個R2獨立地係HO-L-;下標t3係自>0至0.15之莫耳分率,且至少一個R2獨立地係HO-L-;至少一個R2獨立地係HOOC-L-;下標t3係自>0至0.15之莫耳分率,且至少一個R2獨立地係HOOC-L-;至少一個L獨立地係未經取代之二價(C1-C20)烴基;至少一個L獨立地係未經取代之二價(C6-C10)雙環烷烴基;至少一個L係經至少1個(C1-C3)烷基取代之二價(C1-C20)烴基;至少一個L係經至少1個(C1-C3)烷基取代之 二價(C6-C10)雙環烷烴基;至少一個L係經至少1個-OH基團取代之二價(C1-C20)烴基;至少一個L係經至少1個-OH基團取代之二價(C6-C10)雙環烷烴基;至少一個L獨立地係經至少1個氟原子至多全氟取代且包括全氟取代所取代之二價(C1-C20)烴基;至少一個L獨立地係經至少1個氟原子至多全氟取代且包括全氟取代所取代之二價(C6-C10)雙環烷烴基;至少一個Z係-OH;至少一個Z係-COOH;至少一個Z係-O-THP;至少一個Z係-OCH(R3a)2;至少一個Z係-COOCH(R3a)2;至少一個Z係-OCOOCH(R3a)2;至少一個Z係-OC(R3b)3;至少一個Z係-COOC(R3b)3;至少一個Z係-OCOOC(R3b)3;至少一個R3a或R3b獨立地係(C1-C6)烷基;至少一個R3a或R3b獨立地係(C3-C12)環烷基;至少一個R3a或R3b獨立地係(C6-C10)芳烷基;至少一個R3a或R3b獨立地係((C1-C6)烷基)3SiCH2CH2-;2個R3a或2個R3b與彼等兩者所鍵結之碳原子一起係(C3-C12)環烷基或(C6-C12)雙環烷基;或所有3個R3b與彼等所有所鍵結之碳原子一起係(C7-C12)雙環烷基。
態樣3.如態樣1或2之含矽倍半氧烷之組成物,其中在具有式(I)之該(A)矽倍半氧烷樹脂中,Z-L-係選自以下單價羧酸酯:雙環[2.2.1]庚烷-5-基-2-羧酸二級脂族酯(bicyclo[2.2.1]heptane-5-yl-2-carboxylic acid,secondary aliphatic ester);雙環[2.2.1]庚烷-5-基-2-羧酸三級脂族酯(bicyclo[2.2.1]heptane-5-yl-2-carboxylic acid,tertiary aliphatic ester);雙環[2.2.1]庚烷-6-基-2-羧酸二級脂族酯(bicyclo[2.2.1]heptane-6-yl-2-carboxylic acid,secondary aliphatic ester);或雙環[2.2.1]庚烷-6-基-2-羧酸三級脂族酯(bicyclo[2.2.1]heptane-6-yl-2-carboxylic acid,tertiary aliphatic ester)。
態樣4.如態樣3之含矽倍半氧烷之組成物,其中Z-L-係選自以下單價羧酸酯:雙環[2.2.1]庚烷-5-基-2-羧酸1',1'-二甲基乙基酯(bicyclo[2.2.1]heptane-5-yl-2-carboxylic acid,1',1'-dimethylethyl ester);雙環[2.2.1]庚烷-6-基-2-羧酸1',1'-二甲基乙基酯(bicyclo[2.2.1]heptane-6-yl-2-carboxylic acid,1',1'-dimethylethyl ester);雙環[2.2.1]庚烷-5-基-2-羧酸1'-甲基乙基酯(bicyclo[2.2.1]heptane-5-yl-2-carboxylic acid,1'-methylethyl ester);雙環[2.2.1]庚烷-6-基-2-羧酸1'-甲基乙基酯(bicyclo[2.2.1]heptane-6-yl-2-carboxylic acid,1'-methylethyl ester);雙環[2.2.1]庚烷-5-基-2-羧酸金剛烷-1'-基酯(bicyclo[2.2.1]heptane-5-yl-2-carboxylic acid,adaman-1'-yl ester);雙環[2.2.1]庚烷-6-基-2-羧酸金剛烷-1'-基酯(bicyclo[2.2.1]heptane-6-yl-2-carboxylic acid,adaman-1'-yl ester);雙環[2.2.1]庚烷-5-基-2-羧酸3'-甲基金剛烷-1'-基酯(bicyclo[2.2.1]heptane-5-yl-2-carboxylic acid,3'-methyladaman-1'-yl ester);雙環[2.2.1]庚烷-6-基-2-羧酸3'-甲基金剛烷-1'-基酯(bicyclo[2.2.1]heptane-6-yl-2-carboxylic acid,3'-methyladaman-1'-yl ester);雙環[2.2.1]庚烷-5-基-2-羧酸2'-甲基金剛烷-2'-基酯(bicyclo[2.2.1]heptane-5-yl-2-carboxylic acid,2'-methyladaman-2'-yl ester);雙環[2.2.1]庚烷-6-基-2-羧酸2'-甲基金剛烷-2'-基酯 (bicyclo[2.2.1]heptane-6-yl-2-carboxylic acid,2'-methyladaman-2'-yl ester);雙環[2.2.1]庚烷-5-基-2-羧酸2'-乙基金剛烷-2'-基酯(bicyclo[2.2.1]heptane-5-yl-2-carboxylic acid,2'-ethyladaman-2'-yl ester);雙環[2.2.1]庚烷-6-基-2-羧酸2'-乙基金剛烷-2'-基酯(bicyclo[2.2.1]heptane-6-yl-2-carboxylic acid,2'-ethyladaman-2'-yl ester);雙環[2.2.1]庚烷-5-基-2-羧酸環己基酯(bicyclo[2.2.1]heptane-5-yl-2-carboxylic acid,cyclohexyl ester);雙環[2.2.1]庚烷-6-基-2-羧酸環己基酯(bicyclo[2.2.1]heptane-6-yl-2-carboxylic acid,cyclohexyl ester);雙環[2.2.1]庚烷-5-基-2-羧酸1'-乙基環戊基酯(bicyclo[2.2.1]heptane-5-yl-2-carboxylic acid,1'-ethylcyclopentyl ester);雙環[2.2.1]庚烷-6-基-2-羧酸1'-乙基環戊基酯(bicyclo[2.2.1]heptane-6-yl-2-carboxylic acid,1'-ethylcyclopentyl ester);雙環[2.2.1]庚烷-5-基-2-羧酸2'-羥基-2',6',6'-三甲基雙環[3.1.1]庚烷-3'-基酯(bicyclo[2.2.1]heptane-5-yl-2-carboxylic acid,2'-hydroxy-2',6',6'-trimethylbicyclo[3.1.1]heptane-3'-yl ester);及雙環[2.2.1]庚烷-6-基-2-羧酸2'-羥基-2',6',6'-三甲基雙環[3.1.1]庚烷-3'-基酯(bicyclo[2.2.1]heptane-6-yl-2-carboxylic acid,2'-hydroxy-2',6',6'-trimethylbicyclo[3.1.1]heptane-3'-yl ester)。
態樣5.態樣1至4中任一者之含矽倍半氧烷之組成物,其中具有式(I)之該(A)矽倍半氧烷樹脂具有1,000至50,000之重量平均分子量(Mw)。
態樣6.態樣1至5中任一者之含矽倍半氧烷之組成物,其中具有式(II)之(B)胺中:下標m為1至10之整數;下標m為5.5至10之整數;下標n係1;下標n係2;下標n係3;n係1或2且RN係未經取代之(C1-C4)烷基;至少一個R4獨立地係H;至少一個R4獨立地係未經取代之(C1-C12)烷基;至少一個R5獨立地係H;至少一個R5獨立地係(C1-C12)烷基,其係未經取代;至少一個R4及R5獨立地係未經取代之(C1-C5)烷基;至少一個R4及R5獨立地係未經取代之(C6-C12)烷基;至少一個R5獨立地係(C1-C12)烷基,其獨立地經1、2、或3個(C1-C12)烷氧基取代;至少一個R5獨立地係(C1-C12)烷基,其獨立地經1個(C1-C12)烷氧基取代;至少一個R5獨立地係(C1-C5)烷基,其獨立地經1個(C1-C5)烷氧基取代;至少一個R5獨立地係(C1-C12)烷基,其獨立地經2個(C1-C12)烷氧基取代;至少一個R5獨立地係(C1-C12)烷基,其獨立地經3個(C1-C12)烷氧基取代;至少一個R5獨立地係(C1-C5)烷基,其獨立地經1、2、或3個(C1-C5)烷氧基取代;或至少一個R5獨立地係(C1-C5)烷基,其獨立地經1、2、或3個(C6-C12)烷氧基取代。
態樣7.態樣1至5中任一者之含矽倍半氧烷之組成物,其中具有式(II)之(B)胺係選自:三(2-(乙基氧基)乙基)-胺;三(1-甲基-乙醇-2-基)-胺;三(2-(3'-甲基丁基氧基)乙基)-胺;三(2-(己基氧基)乙基)-胺;三(2-(辛基氧基)乙基)-胺;三(2-(甲氧基甲氧基)乙基)-胺;三(2-(甲氧基乙氧基)乙基)-胺;三(2-(2'-乙氧基乙氧基)乙基)-胺;及三(2-(2'-(2"-甲氧基乙氧基)乙氧基)乙基)-胺。可替代地,態樣1至5中 任一者之含矽倍半氧烷之組成物,其中具有式(II)之該(B)胺係選自:乙基-二(2-(乙基氧基)乙基)-胺;乙基-二(1-甲基-乙醇-2-基)-胺;乙基-二(2-(3'-甲基丁基氧基)乙基)-胺;乙基-二(2-(己基氧基)乙基)-胺;乙基-二(2-(辛基氧基)乙基)-胺;乙基-二(2-(甲氧基甲氧基)乙基)-胺;乙基-二(2-(甲氧基乙氧基)乙基)-胺;乙基-二(2-(2'-乙氧基乙氧基)乙基)-胺;及乙基-二(2-(2'-(2"-甲氧基乙氧基)乙氧基)乙基)-胺。可替代地,態樣1至5中任一者之含矽倍半氧烷之組成物,其中具有式(II)之該(B)胺係選自:二甲基-(2-(乙基氧基)乙基)-胺;二甲基-(1-甲基-乙醇-2-基)-胺;二甲基-(2-(3'-甲基丁基氧基)乙基)-胺;二甲基-(2-(己基氧基)乙基)-胺;二甲基-(2-(辛基氧基)乙基)-胺;二甲基-(2-(甲氧基甲氧基)乙基)-胺;二甲基-(2-(甲氧基乙氧基)乙基)-胺;二甲基-(2-(2'-乙氧基乙氧基)乙基)-胺;及二甲基-(2-(2'-(2"-甲氧基乙氧基)乙氧基)乙基)-胺。
態樣8.一種含矽倍半氧烷之組成物,其包含如態樣1至7中任一項之含矽倍半氧烷之組成物及(C)光酸產生劑。
態樣9.如態樣8之含矽倍半氧烷之組成物,其中該(C)光酸產生劑包含鎓鹽、含鹵素之化合物、重氮酮化合物、碸化合物、磺酸酯化合物、或其等任何二或更多者之組合。
態樣10.態樣1至9中任一者之含矽倍半氧烷之組成物獨立地進一步包含一或多種成分(添加劑):(D)溶劑、(E)助黏劑、(F)染料、(G)光暈抑制劑、(H)塑化劑、(I)敏化劑、(J)穩定劑(例如,儲存穩定劑)、及(K)界面活性劑。在一些實施例中,該含矽倍半氧烷之 組成物不含有成分(D)至(K)。在一些實施例中,該含矽倍半氧烷之組成物進一步包含(D)溶劑、(E)助黏劑、或(D)及(E)兩者。
態樣11.一種製成物品,其包含如態樣1至10中任一項之含矽倍半氧烷之組成物。
態樣12.一種在一基材上製造一抗蝕劑影像之方法,該方法包含:將態樣1至10中任一者之含矽倍半氧烷之組成物施加至一基材之一表面,以在該基材之該表面上形成一經施加膜,其中該含矽倍半氧烷之組成物包含該(A)矽倍半氧烷樹脂、該(B)胺、及(C)光酸產生劑;使該經施加膜遮罩曝露於輻射以產生一經曝露膜,其含有一潛在圖案;使該經曝露膜顯影以由該潛在圖案產生一抗蝕劑影像,以得到一製成物品,該製成物品包含設置在該基材上之該抗蝕劑影像。基材可係可接收含矽倍半氧烷之組成物並支撐其經施加膜的任何物品。例如,基材可係半導體晶圓或下層(例如,硬遮罩或抗反射塗層)。下層可係獨立式或設置在半導體晶圓上。
態樣13.如態樣12之在一基材上製造一抗蝕劑影像之方法,其中該基材包含一裸半導體晶圓;該基材包含一經底塗之半導體晶圓;該基材包含一經底塗之半導體晶圓,其係藉由用六甲基二矽氮烷底塗一裸半導體晶圓來製備;該基材包含一半導體晶圓,且該含矽倍半氧烷之組成物係直接施加在該半導體晶圓之一表面上;該基材包含一半導體晶圓,該半導體晶圓具有包含碳化矽、碳氮化矽、氮化矽、氧化矽、氮氧化矽、或氧碳氮化矽之一表面部分,且該含矽倍半氧烷之組成物係直接施加在該半導體晶圓之該表面上;該基材包含一 下層(例如,圖2中之2),諸如抗反射塗層(ARC)或硬遮罩層,其設置在一半導體晶圓(例如,圖2中之1)之一表面上,且該含矽倍半氧烷之組成物係直接施加在該基材(例如,ARC或硬遮罩層)上,而不直接施加在半導體晶圓上(例如,如圖3中);在該施加步驟之前,該含矽倍半氧烷之組成物進一步包含(D)溶劑,且該施加步驟包含旋塗;該經施加膜進一步包含(D)溶劑,且該方法進一步包含在該遮罩曝露步驟之前乾燥該經施加膜(軟烘);該經施加膜具有0.01至5微米之厚度;該輻射係選自紫外(UV)光、X射線輻射、電子束輻射、及極紫外線(EUV)輻射;該輻射具有在13奈米(nm)至365nm之範圍內的波長;該輻射具有包含365nm、248nm、193nm、157nm、或13nm之波長;該顯影步驟包含使該經遮罩曝露膜(例如,圖4中之3)與包含水性鹼之顯影液接觸;該方法進一步包含在30攝氏溫度(℃)至200℃之溫度下加熱該經遮罩曝露膜,以及在該顯影步驟之前冷卻該經遮罩曝露膜,且該顯影步驟包含使該冷卻的經遮罩曝露膜與包含水性鹼之顯影液接觸;或該顯影步驟包含使該經遮罩曝露膜與包含水性四甲基氫氧化銨之顯影液接觸。該輻射波長可包含248nm或193nm。
態樣14.態樣11或12之在一基材上製造一抗蝕劑影像之方法,其中:該基材包含設置於一半導體晶圓之一表面上的一硬遮罩層,且該含矽倍半氧烷之組成物係直接施加於該硬遮罩層上,而不直接施加於該半導體晶圓上,且該方法進一步包含:氧氣(O2)電漿蝕刻該抗蝕劑影像以藉由將該抗蝕劑影像轉移至該硬遮罩層來蝕刻該硬遮罩層並得到一第一半導體裝置,該第一半導體裝置包含設置於該半 導體晶圓之該表面上的一雙層影像,其中該雙層影像包含一抗蝕劑影像層及一硬遮罩影像層,且其中該半導體晶圓之該表面之一區係由該雙層影像覆蓋,且該半導體晶圓之該表面之另一區係未覆蓋的(例如,如圖6);或該基材包含設置於一半導體晶圓之一表面上的一硬遮罩層,且該含矽倍半氧烷之組成物係直接施加於該硬遮罩層上,而不直接施加於該半導體晶圓上,且該方法進一步包含:(i)氧氣(O2)電漿蝕刻該抗蝕劑影像以藉由將該抗蝕劑影像轉移至該硬遮罩層中來蝕刻該硬遮罩層以得到一第一半導體裝置,該第一半導體裝置依序包含設置於該半導體晶圓之該表面上的一雙層影像,其中該雙層影像包含一抗蝕劑影像層及一硬遮罩影像層,且其中該半導體晶圓之該表面之一區係由該雙層影像覆蓋,且該半導體晶圓之該表面之另一區係未覆蓋的(例如,如圖6);以及(ii)鹵素蝕刻該第一半導體裝置之該半導體晶圓之該表面之該未覆蓋區以藉由移除剩餘經施加膜、至少一些該硬遮罩層、及該半導體晶圓之一些(但非所有)該未覆蓋區來將該雙層影像轉移至該半導體晶圓中,以得到一第二半導體裝置,該第二半導體裝置包含設置於一基底半導體層上的一半導體影像。例如,如圖7中,其中將所有經施加膜、一些硬遮罩層、及該半導體晶圓之一些(但非所有)未覆蓋區移除;或如圖8中,其中將所有經施加膜、所有硬遮罩層、及該半導體晶圓之一些(但非所有)未覆蓋區移除。
態樣15.一種半導體裝置,其包含藉由態樣14之方法製成之第一半導體裝置或第二半導體裝置。
態樣16.如態樣15之半導體裝置,其包含該第二半導體裝置,其中該第二半導體裝置之該半導體影像具有大於5之長寬比、矩形輪廓、或兩者。
吾等提出先前技術光阻劑之前述問題之一或多者的技術解決方案。本發明實施例產生具有I形截面輪廓垂直特徵之抗蝕劑影像,從而解決含現有胺之現有抗蝕劑影像中垂直特徵的T形截面輪廓之問題。技術解決方案可使用具有波長為248nm、可替代地197nm、可替代地157nm、可替代地13nm的光進行光圖案化。圖10繪示發明性正型抗蝕劑影像中具有I形截面輪廓垂直特徵之實例。圖11(非發明)繪示非發明性抗蝕劑影像中具有T形截面輪廓垂直特徵之實例。
不受理論的束縛,我們相信具有式(II)之胺具有低能構型,其中氮原子和氧原子能夠形成分子內氫鍵並產生穩定的錯合物。因此,當含矽倍半氧烷之樹脂組成物(如光阻劑組成物)曝露於高強度輻射並產生PAG之酸產物時,可形成包含具有式(II)之胺及酸產物之穩定的分子內氫鍵結錯合物。分子內氫鍵結錯合物可捆綁(tie up)胺/酸產物,從而預防具有式(II)之胺促進在抗蝕劑層的表面上交聯具有式(I)之矽倍半氧烷樹脂。利用此方式,避免抗蝕劑圖案的T形截面輪廓線。
相比之下,現有非發明胺物理上不能形成穩定的分子內氫鍵結錯合物,因此現有胺可促進在非發明抗蝕劑層表面的矽倍半氧烷樹脂之交聯。例如,非發明胺(諸如單胺,無氧原子)缺少分子內 氫鍵結錯合物所需的氧原子。非發明胺(諸如啉)不能形成低能構型,其中N及O原子可H鍵結至相同的H原子。非發明胺(諸如經側氧基取代之胺)可能無法形成低能構型,其中N及O原子可H鍵結至相同的H原子。可替代地,側氧基可能缺乏足夠鹼性的氧原子以形成穩定的錯合物。因此,我們相信現有非發明胺促進、或至少不能預防在正型抗蝕劑中T型截面輪廓線之形成。
含矽倍半氧烷之組成物之實施例,其包括包含具有式(I)之(A)矽倍半氧烷樹脂、具有式(II)之(B)胺,及(C)光酸產生劑之光阻劑組成物,在13nm至365nm的波長(例如13nm,157nm,193nm或248nm)下可以是光學透明的。實施例亦可具有對半導體材料或下層材料諸如ARC或硬塗層材料的黏附力。實施例亦可係熱穩定的,例如當在不存在光之情況下從30°加熱至250℃持續1至120分鐘時。進一步包含(C)光酸產生劑之實施例在曝露於輻射期間係化學可放大的。所得輻射曝露實施例可在水性鹼諸如水性四甲基氫氧化銨(TMAHaq)諸如2.5wt% TMAHaq中顯影。
除非本文中另有定義,否則本文所使用之化學技術用語之意義可見於IUPAC中。Compendium of Chemical Terminology,2nd ed.(「金皮書」)。編譯者為A.D.McNaught及A.Wilkinson。Blackwell Scientific Publications,Oxford(1997).XML線上修正版本:http://goldbook.iupac.org(2006-),建立者為M.Nic,J.Jirat,B.Kosata;更新編譯者為A.Jenkins。ISBN 0-9678550-9-8.doi:10.1351/goldbook.IUPAC未定義的用語,可能定義於Hawley’s CONDENSED CHEMICAL DICTIONARY,11th edition,N.Irving Sax & Richard J.Lewis,Sr.,1987(Van Nostrand Reinhold)。
除非本文中另有定義,否則本文所使用之通用用語之意義可見於此。或者在一相異的實施例之前。冠詞「一(a,an)」、及「該(the)」各自係指一或多者。聚合物之平均分子質量諸如重量平均分子質量或「Mw」係利用聚苯乙烯標準使用凝膠滲透層析術(GPC)來判定。化學元素或原子、化學元素之一或多個族應意指由IUPAC所公開、在版本日期為2013年5月1日之元素週期表中的那些。任何比較例係僅出於說明目的來使用,且不應意指先前技術。固化產物諸如固化有機矽氧烷可具有的結構可取決於特定反應物及用於製造該產物的固化條件而變化。該變異性不受限制,但根據反應物之結構以及固化化學及條件而受約束。本發明之實例可供修正申請專利範圍作為憑藉,並為該等申請專利範圍提供足夠支持。配方(一部分)意指含有所有成分且以產生固化產物所需之比例的混合物。單部分配方可利用外部因子,諸如濕氣(用於縮合固化)、熱(用於加成固化)、或光(用於加成固化),來起始、加速或完成固化製程。配方(兩部分)意指將不同反應性成分分隔成兩個分開的並且互補的分區以防止固化過早起始的系統。例如,可將單體或預聚合物(但非催化劑)包括於主要部分中;且可將固化催化劑(但非單體或預聚合物)包括於次要部分中。固化之起始係藉由將主要部分及次要部分組合在一起以形成一部分配方來達成。「不含(free of)」或「缺乏(lack)」意指完全沒有;或者不可偵測,例如,其使用核磁共振(NMR)光譜學(例如,1H- NMR、13C-NMR、或29Si-NMR)或傅立葉轉換-紅外線(FT-IR)光譜學。發明及發明性應意指代表性實施例或態樣,且不應揭示為整個發明性範疇。IUPAC係國際純化學和應用化學聯合會(International Union of Pure and Applied Chemistry)(IUPAC Secretariat,Research Triangle Park,North Carolina,USA)。馬庫西群組包含二或更多個成員之屬。成員A及B之馬庫西群組可等效表示為:「選自A及B之成員(a member selected from A and B)」;「選自由A及B所組成之群組的成員(a member selected from the group consisting of A and B)」;或「成員A或B(a member A or B)」。各成員可獨立地係該屬種之亞屬或物種,且可在修正申請專利範圍中獨立地或共同地憑藉。可係賦予經允許之選擇,而非必要。操作性意指功能上容許或有效。可選(地)意指其係不存在(或經排除),或者係存在(或經包括)。性質係針對測量使用標準測試方法及條件(例如,黏度:23℃及101.3kPa)來測量。數字之範圍包括其中包含之端點、子範圍、及全部及/或分數值,除了整數之範圍不包括分數值。任何所說明之數字可供修正申請專利範圍作為憑藉,並為該等申請專利範圍提供足夠支持。經取代意指具有(代替氫)一或多個取代基,包括每個取代。各取代基可獨立地係鹵素原子、-NH2、-NHR、-NR2、-NO2、-OH、-OR、側氧基(=O)、-C≡N、-C(=O)-R、-OC(=O)R、-C(=O)OH、-C(=O)OR、-SH、-SR、-SSH、-SSR、-SC(=O)R、-SO2R、-OSO2R、-SiR3、或-Si(OR)3;其中各R獨立地係未經取代之(C1-C30)烴基、或者(C1-C6)烴基。鹵素原子係F、Cl、Br、或I;可替代地係F、Cl、或 Br;可替代地係F或Cl;可替代地係F;可替代地係Cl。「媒劑(vehicle)」意指用作另一材料之載劑、分散劑、稀釋劑、儲存介質、上清液、或溶劑的液體。
本文之任何化合物包括其所有「同位素形式(isotopic form)」,包括天然豐度形式及同位素濃化形式。在一些態樣中,同位素形式係天然豐度形式、或者係同位素濃化形式。同位素濃化形式可具有額外用途,諸如醫學研究或防偽應用,其中同位素濃化化合物之偵測有助於治療或偵測。
在一些態樣中,本文中所述之任何組成物可含有元素週期表第1至18族之化學元素中任何一或多者,除非該化學元素已經特別排除。特別排除的化學元素可為:(i)第2至13及18族中任一者之至少一種化學元素,包括鑭系元素及錒系元素;(ii)元素週期表第三至第六列中任一者之至少一種化學元素,包括鑭系元素及錒系元素;或(iii)(i)及(ii)兩者,除了不排除Si、O、H、C、N、F、Cl、Br、或I。
當涉及抗蝕劑圖案或影像的截面輪廓線時,本文中所使用的用語「彎曲角頂」意指輪廓具有水平最上表面(諸如「-」的水平頂面)、垂直(「」)或向上傾斜(例如,「/」)的左側表面,垂直(「」)或向下傾斜(例如「\」)的右側表面,向上錐形的過渡(向上彎曲的拐角,例如),和向下錐形的過渡(向下彎曲的拐角,例如,)。彎曲角頂輪廓係由圖10中各影像所繪示。向上錐形的過渡將左側 表面接合至水平頂面。向下錐形的過渡將水平頂面接合至右側表面。左及右側表面藉由線的寬度彼此隔開。
當涉及抗蝕劑圖案或影像的截面輪廓線時,本文中所使用的用語「方形角頂」意指輪廓具有上述水平最上表面(水平頂面),上述左及右側表面,及如其間過渡之一或兩個直角的角。方形角頂輪廓由以下形狀所繪示:(一個直角的角(左)和一個彎角(右))及(兩個直角)。
當涉及抗蝕劑圖案或影像的截面輪廓線時,本文中所使用的用語「T頂」意指輪廓具有上述水平最上表面(水平頂面),上述左及右側表面,其中水平最上表面延伸超過左及右側表面,使得線具有上緣,且T頂輪廓如以下形狀所繪示:。(注意:在意外重新改變字型的情況下,緊接在前面的符號意圖是Calibri字型,18點的大寫pi符號)。
下文描述額外發明實施例。
具有式(I)之(A)矽倍半氧烷樹脂:該(A)矽倍半氧烷樹脂具有式(I):[HSiO3/2]t1[Z-L-SiO3/2]t2[H(R1O)SiO2/2]d[(R1O)xSiO(4-x)/2]y[R2SiO3/2]t3(I),其中:下標t1係0.4至0.9之莫耳分率;下標t2係0.1至0.6之莫耳分率;下標d係0至0.45之莫耳分率;下標x係1、2、或3之整數;下標y係0至0.25之莫耳分率;下標t3係0至0.15之莫耳分率;t1+t2之總和=自0.9至1,且t1+t2+d+y+t3之總和=1;各R1獨立地係H或(C1-C6)烷基;各R2獨立地係HO-L-或HOOC-L-;各L獨立地係二價 (C1-C20)烴基,其係未經取代或經至少1個取代基取代,該取代基獨立地選自(C1-C3)烷基、-OH、及氟原子至多全氟取代且包括全氟取代;且各Z係-OH、-COOH、-O-THP、-OCH(R3a)2、-OC(R3b)3、-COOCH(R3a)2、-COOC(R3b)3、-OCOOCH(R3a)2、或-OCOOC(R3b)3,其中THP係四氫哌喃-2-基;其中各R3a獨立地係(C1-C6)烷基、(C3-C12)環烷基、(C6-C10)芳烷基、((C1-C6)烷基)3SiCH2CH2-,或2個R3a與彼等所鍵結之碳原子一起係(C3-C12)環烷基或(C6-C12)雙環烷基;且其中各R3b獨立地係(C1-C6)烷基、(C3-C12)環烷基、(C6-C10)芳烷基、((C1-C6)烷基)3SiCH2CH2-;或2個R3b與彼等所鍵結之碳原子一起係(C3-C12)環烷基或(C6-C12)雙環烷基,且剩餘的R3b獨立地係(C1-C6)烷基、(C3-C12)環烷基、(C6-C10)芳烷基、或((C1-C6)烷基)3SiCH2CH2-;或所有3個R3b與彼等所有所鍵結之碳原子一起係(C7-C12)雙環烷基。在一些實施例中,下標t1、t2、d、x、y、及t2、以及官能基Z、L、R1、及R2中之任一者係如上文在從屬編號態樣中所定義。在一些實施例中,(A)矽倍半氧烷樹脂係後文所述之工作例之矽倍半氧烷樹脂1。
(B)胺:(B)胺具有式(II):RN (3-n)N-[(CH2CH(R4)O)m-R5]n(II),其中:下標m為1至10之整數;下標n係1、2、或3之整數;各RN獨立地係未經取代之(C1-C12)烷基;各R4獨立地係H或未經取代之(C1-C12)烷基;且各R5獨立地係H或(C1-C12)烷基,其係未經取代或獨立地經1、2、或3個(C1-C12)烷氧基取代。在一些實施例中,下標m和n之任一者及基團RN,R4及R5如上述相關編碼之態樣所定義。在一些實 施例中,下標n係1。在一些實施例中,下標n係2。在一些實施例中,下標n係3。在一些實施例中,(B)胺係前述態樣7之胺。在一些實施例中,(B)胺係後文所述工作例之胺(B-1)、(B-2)、(B-3)、或(B-4)。
所有每100份之(A)矽倍半氧烷樹脂,(B)胺一般係以0.01份至5份、可替代地0.05份至4份、可替代地0.07份至3份、可替代地0.09份至2份之濃度存在於含矽倍半氧烷之組成物中。
(C)光酸產生劑(PAG)。
光阻劑組成物包含一或多種(C)光酸產生劑。(C)光酸產生劑(「PAG」)包含非係酸(非係布忍斯特或路易斯酸),但一經曝露於電磁輻射之後產生酸的任何化合物。因此,PAG用作前酸,其係經歷光化轉換之後展現出酸性效果的化合物。在一些實施例中,PAG係鎓鹽,含鹵素化合物,重氮酮化合物,碸化合物,磺酸酯化合物,或以下任何二或更多種之組合:鎓鹽,含鹵素化合物,重氮酮化合物,乙二醛二肟衍生物,碸化合物及磺酸酯化合物。其他可用的光酸產生劑包括US 7,261,992 B2第10欄第57行至第11欄第9行中所提及的那些。這些包括硝基苄基酯及s-三衍生物諸如US 4,189,323中所提及之s-三衍生物。
鎓鹽:合適用作(C)PAG之鎓鹽之實例包括錪鎓鹽、鋶鹽(包括四氫噻吩鹽)、鏻鹽、重氮鹽、及吡啶鹽。合適用作(C)PAG之鎓鹽之具體實例列舉於US 8,729,148 B2第14欄第40行至第15欄第4行中、及三(4-(4'-乙醯基苯基硫基)-苯基)鋶四(五氟苯基)硼酸鹽。
含鹵素化合物:適合用作(C)PAG之含鹵素化合物的實例係含鹵烷基的烴化合物,及含鹵烷基的雜環化合物。合適用作(C)PAG之含鹵素之化合物之具體實例係(三氯甲基)-s-三衍生物諸如苯基雙(三氯甲基)-s-三、4-甲氧基苯基雙(三氯甲基)-s-三、及1-萘基雙(三氯甲基)-s-三、以及1,1-雙(4'-氯苯基)-2,2,2-三氯甲烷。
重氮酮化合物:適合用作(C)PAG之重氮酮化合物的實例係重氮甲烷衍生物,其列於第15欄第4至23行之US 8,729,148 B2;1,3-二酮-2-重氮化合物、重氮苯醌化合物、及重氮萘醌化合物。作為合適用作PAG之重氮酮化合物之具體實例係1,2-萘醌重氮基-4-磺醯氯、1,2-萘醌重氮基-5-磺醯氯、1,2-萘醌重氮基-4-磺酸酯或2,3,4,4'-四羥基二苯基酮之1,2-萘醌重氮基-5-磺酸酯、及1,2-萘醌重氮基-4-磺酸酯或1,1,1-參(4'-羥苯基)乙烷之1,2-萘醌重氮基-5-磺酸酯。
乙二醛二肟衍生物:合適用作(C)PAG之乙二醛二肟衍生物之實例列舉於US 8,729,148 B2第15欄第23至46行中。
碸化合物:合適用作(C)PAG之碸化合物之實例係β-酮基碸、β-磺醯基碸、及這些化合物之α-重氮基化合物。碸化合物之具體實例係4-參苯甲醯甲基碸、基苯甲醯甲基碸、及雙(苯基磺醯基)甲烷。
磺酸酯化合物:合適用作(C)PAG之磺酸酯化合物之實例係烷基磺酸酯、烷基醯亞胺磺酸酯、鹵烷基磺酸酯、芳基磺酸酯、及亞胺基磺酸酯。合適用作(C)PAG之磺酸酯化合物之具體實例係安息 香甲苯磺酸酯、五倍子酚參(三氟甲烷磺酸酯)、硝基苄基-9,10-二乙氧基蒽-2-磺酸酯、三氟甲烷磺醯基雙環[2.2.1]庚-5-烯-2,3-二碳二亞胺、N-羥基琥珀醯亞胺三氟甲烷磺酸酯、及1,8-萘二羧酸亞胺基三氟甲烷磺酸酯。
在一些實施例中,(C)光酸產生劑係二苯基碘鎓三氟甲烷磺酸酯、雙(4-三級丁基苯基)碘鎓三氟甲烷磺酸酯、雙(4-三級丁基苯基)碘鎓九氟-n-丁烷磺酸酯、三苯基鋶三氟甲烷磺酸酯、三苯基鋶九氟-n-丁烷磺酸酯、環己基-2-側氧基環己基甲基鋶三氟甲烷磺酸酯、二環己基-2-側氧基環己基鋶三氟甲烷磺酸酯、2-側氧基環己基二甲基鋶三氟甲烷磺酸酯、4-羥基-1-萘基二甲基鋶三氟甲烷(trifluorornethane)磺酸酯、4-羥基-1-萘基四氫噻吩三氟甲烷磺酸酯、1-(1'-萘基乙醯甲基)四氫噻吩三氟甲烷磺酸酯、三氟甲烷磺醯基雙環[2.2.1]庚-5-烯-2,3-二碳二亞胺、N-羥基琥珀醯亞胺三氟甲烷磺酸酯、或1,8-萘二羧酸亞胺基三氟甲烷磺酸酯。
用於含矽倍半氧烷之組成物(例如,光阻劑組成物)中之(C)光酸產生劑之量可係0.01至10重量份、或者0.05至7重量份、或者0.09份至2份,所有均係每100重量份(A)矽倍半氧烷樹脂。若光酸產生劑之量小於(A)矽倍半氧烷樹脂之0.01重量份,則含有此類低量的含矽倍半氧烷之組成物(例如,光阻劑組成物)之靈敏度及可顯影性(在輻射曝露之前及之後顯影劑溶液諸如TMAHaq之溶解度之差)可趨向於減小。若PAG的量超過10重量份之(A)矽倍半氧烷樹脂,則含有如此大量的含矽倍半氧烷之組成物(例如,光阻劑組成 物)可能不會形成具有彎曲角頂或方形頂截面輪廓之抗蝕劑圖案,可能是由於輻射透光率降低。
在一些實施例中,含矽倍半氧烷之組成物及/或光阻劑組成物獨立地進一步包含一或多種成分或添加劑:(D)溶劑、(E)助黏劑、(F)染料、(G)光暈抑制劑、(H)塑化劑、(I)敏化劑、(J)穩定劑(例如,儲存穩定劑)、及(K)界面活性劑。添加劑(D)至(K)係可選的。在一些實施例中,含矽倍半氧烷之組成物及/或光阻劑組成物不含(缺乏)添加劑(D)至(K)。在其他實施例中,含矽倍半氧烷之組成物及/或光阻劑組成物獨立地進一步包含添加劑(D)至(K)中之至少1者、或者至少2者、或者至少3者、或更多者。在一些實施例中,該含矽倍半氧烷之組成物進一步包含(D)溶劑、(E)助黏劑、或(D)及(E)兩者。
(D)溶劑:在一些實施例中,含矽倍半氧烷之組成物(例如,光阻劑組成物)不含(缺乏)(D)溶劑。在一些實施例中,含矽倍半氧烷之組成物(例如,光阻劑組成物)進一步包含一或多種(D)溶劑。(D)溶劑可用於溶解、分散、或稀釋含矽倍半氧烷之組成物(例如,光阻劑組成物)之其他成分,以得到可更容易地塗佈在需要塗佈的基材上的混合物。光阻劑組成物之此類基材之實例係半導體晶圓或金屬晶圓、或依序包含基材、下層、及光阻劑組成物之塗層(光阻劑層)之多層光阻劑之下層(例如,ARC)。下層設置(夾)在光阻劑層與基材之間。(D)溶劑之實例係甲基乙基酮(MEK)、甲基異丁基酮(MIBK)、2-庚酮、甲基戊基酮(MAK)、環戊酮、環己酮、乳酸酯烷基酯如乳酸乙酯、1,2-丙二醇單甲醚單乙酸酯(PGMEA)、烷二醇單烷基 酯、乙酸丁酯、2-乙氧基乙醇、及乙基3-乙氧基丙酸酯。當存在於含矽倍半氧烷之組成物(例如,光阻劑組成物)中時,基於含矽倍半氧烷之組成物(例如,光阻劑組成物)之總重量,(D)溶劑之濃度可係50wt%至90wt%。
(E)助黏劑:在一些實施例中,含矽倍半氧烷之組成物(例如,光阻劑組成物)不含(缺乏)(F)助黏劑。在一些實施例中,含矽倍半氧烷之組成物(例如,光阻劑組成物)進一步包含一或多種(F)助黏劑。(F)助黏劑可用於增強含矽倍半氧烷之組成物與需要圖案化,例如半導體晶圓(如,矽晶圓)或金屬表面)之基材的結合。(F)助黏劑亦可用於增強與多層抗蝕劑(例如,諸如抗反射塗料(ARC)或硬塗層等下層)之下層的結合。(F)助黏劑之實例係矽烷偶合劑,例如可商購的矽烷偶合劑。矽烷偶合劑一般含有官能化烷基,加上全部鍵結在相同矽原子上的三個烷氧基或兩個烷氧基及一個烷基。官能化烷基可係胺基烷基,環氧基-烷基,丙烯醯基氧基烷基,甲基丙烯醯基氧基烷基,脲基烷基,異氰脲酸烷基,巰基烷基或烯基。實例包括乙烯基三甲氧基矽烷、2-(3,4-環氧環己基)乙基-三甲氧基矽烷、及3-環氧丙氧基丙基三甲氧基矽烷。當存在於含矽倍半氧烷之組成物(例如,光阻劑組成物)中時,以該含矽倍半氧烷之組成物(例如,光阻劑組成物)的總重量計,(F)助黏劑的濃度可係0.01wt%至5wt%。
(F)染料:(F)染料可用於調整含矽倍半氧烷之組成物(例如,光阻劑組成物)之光學密度。
(G)成暈現象抑制劑:(G)成暈現象抑制劑可用於防止微影輻射(光)擴展超過光阻劑組成物之光阻劑層之直接曝露區域之邊線。
(H)塑化劑:(H)塑化劑可用於調整含矽倍半氧烷之組成物(例如,光阻劑組成物)之黏度以增強其可處理性或可塗佈性。
(I)敏化劑:(I)敏化劑可用於藉由吸收第一波長的輻射,並發射第二波長的輻射轉移至(C)光酸產生劑所發射之輻射,來增強(C)光酸產生劑的活性。
(J)穩定劑:(J)穩定劑可用於延長含矽倍半氧烷之組成物(例如,光阻劑組成物)之擱置壽命,其諸如藉由抑制氧化反應、酸-鹼反應、或其中其他降解反應。
(K)界面活性劑:(K)界面活性劑可用於改善含矽倍半氧烷之組成物(例如,光阻劑組成物)在基材諸如半導體晶圓或多層光阻劑之下層(例如,ARC)上的塗層之均勻度。
含矽倍半氧烷之組成物及光阻劑組成物可含有其他或額外可選添加劑作為成分。含矽倍半氧烷之組成物及光阻劑組成物中之所有成分之總濃度係100%。
在一些實施例中,含矽倍半氧烷之組成物係光阻劑組成物。光阻劑組成物係化學可放大光阻劑組成物。光阻劑組成物可經保護不受具有短於365nm之波長的光影像,直至在將光阻劑組成物塗佈於基材上並準備進行遮罩-照射之後。例如,光阻劑組成物可在基本上由黃光或紅光組成的環境下製備且塗佈。在曝露於光(遮罩-照射)之 後,化學可放大光阻劑組成物轉化成最初包含酸敏感性光阻劑組合物及產物酸的化學放大光阻劑組成物。產物酸促進酸敏感性光阻劑組合物之酸敏感性基團之裂解,以得到包含帶有酸性側基之巨分子鏈的產物聚合物。裂解之速率可藉由加熱化學放大光阻劑組成物來增加。在裂解之後,化學放大光阻劑組成物包含產物酸及產物聚合物。酸敏感性光阻劑聚合物、PAG、產物酸、及產物聚合物係不同且相異的化合物。
當化學可放大光阻劑組成物之一部分曝露於光,且化學可放大光阻劑組成物之另一部分未曝露於光時,形成複合材料,其包含:非曝露區,其包含化學可放大光阻劑組成物(未反應);及光曝露區,其包含化學放大光阻劑組成物(裂解產物)。當化學可放大光阻劑組成物在一個維度上受約束,且光曝露係透過限定圖案之光罩進行時,形成以片材或膜之形狀的複合材料,其具有非曝露區及圖案化光曝露區,該圖案化光曝露區限定化學放大光阻劑組成物之潛在圖案。當化學可放大光阻劑組成物不含與產物聚合物具有反應性的交聯劑時,可藉由用顯影劑(例如,鹼性溶液,諸如水性鹼)顯影複合材料之片材或膜來從潛在圖案形成正抗蝕劑影像。顯影劑選擇性溶解光曝露區,而不溶解非曝露區,從而產生正抗蝕劑影像,其藉由維持非曝露區來限定。
可用於移除光阻劑組成物之顯影劑溶液包括包含水及鹼的水溶液。鹼係可溶於去離子水以得到氫電勢(pH)>7之水溶液的化合物。此鹼性化合物可係無機化合物諸如氨或第1或2族金屬氫氧化 物或碳酸鹽。或者,鹼性化合物可係有機化合物,諸如胺或鹼性含氮之雜環。鹼之實例係四甲基氫氧化銨(TMAH)、膽鹼、氫氧化鈉、氫氧化鉀、碳酸鈉、矽酸鈉、偏矽酸鈉、氨、乙胺、丙胺、二乙胺、二丙胺、三乙胺、甲基二乙胺、乙基二甲胺、三乙醇胺、吡咯、哌啶、1,8-二吖雙環[5.4.0]-7-十一烯、及1,5-二吖雙環[4.3.0]-5-壬烯。當光阻劑組成物調配以用作正光阻劑時,其光阻劑層之曝露區域將溶解於顯影劑溶液中,且未曝露區域將不溶解於顯影劑溶液中。一旦曝露光阻劑層(正光阻劑)用顯影劑溶液顯影,光阻劑層之未溶解剩餘部分(「圖案(pattern)」)可用水洗滌以從其移除過量顯影劑溶液。
顯影劑的結構,配方,官能或用途,及/或用量不同於(B)胺。例如,即使顯影劑之鹼性化合物是胺,一般不是(B)胺,也不是未經取代之含烷基胺。此外,顯影劑是鹼性化合物在水中的溶液,而含有(B)胺及矽倍半氧烷之組成物基本上不含水(例如,具有0wt%至小於1wt%的水)。同樣,顯影劑用於顯影步驟中以選擇性溶解光阻劑組成物之經遮罩曝露膜之經遮罩曝露區,而不溶解膜之未經曝露區。(B)胺主要用於遮罩曝露步驟中,並且就在遮罩曝露步驟之後,據信其化學放大包含(A)矽倍半氧烷樹脂、(B)胺、及(C)光酸產生劑或其產物酸的光阻劑組成物膜之經遮罩曝露區域。產物酸係一經在遮罩曝露步驟中將光阻劑組成物之膜之遮罩曝露區之(C)光酸產生劑曝露於輻射之後由(C)光酸產生劑就地形成。(B)胺在光阻劑組成物中可具有額外的用途,例如除了遮罩曝露步驟之外的步驟,例如在施加、可選的乾燥、顯影、及/或可選的加熱步驟中。另外,(B)胺可以 在不含(C)光酸產生劑(例如,界面活性劑、助黏劑、溶劑、穩定劑、或塑化劑)的含矽倍半氧烷之組成物的實施例中使用。此外,所有每100份之(A)矽倍半氧烷樹脂,鹼性化合物一般係以1至5wt%之濃度存在於顯影劑中,而(B)胺一般係以0.01份至5份、可替代地0.05份至4份、可替代地0.07份至3份之濃度存在於含矽倍半氧烷之組成物中。
然後可將圖案轉移至下伏基材。在雙層光阻劑中,轉移包含透過下層(例如,ARC或硬塗層)將圖案轉移至基材(例如,矽或金屬)上。在單層抗蝕劑中,不存在下層,且圖案係直接轉移至基材上。一般而言,圖案經由用反應性離子諸如氧氣、電漿、或氧氣/二氧化硫電漿蝕刻來轉移。蝕刻方法係所屬技術領域中熟知的。
另一實施例係一種用於在一基材上或在設置在一基材上的一下層上產生一抗蝕劑影像之方法。在一些實施例中,該方法包含以下步驟:(a)用包含成分(A)至(C)及一般亦成分(D)溶劑之光阻劑組成物塗佈基材以形成抗蝕劑塗佈基材,其在該基材上包含光阻劑組成物之經施加膜;(b)將經施加膜遮罩曝露(成像式曝露)於輻射(例如,248nm、193nm、或157nm)(或用其進行遮罩照射)以產生包含經曝露膜之遮罩照射抗蝕劑,該經曝露膜含有潛在圖案;及(c)將遮罩照射抗蝕劑之經曝露膜顯影以從潛在圖案產生抗蝕劑影像,以得到經顯影之抗蝕劑。經顯影之抗蝕劑係包含設置在基材上的抗蝕劑影像的製成物品。在其他實施例中,該方法包含以下步驟:(a)用包含成分(A)至(C)及一般亦成分(D)溶劑之抗蝕劑組成物塗佈下層(其預設置在基 材上)以在基材上形成雙層,其中該雙層包含設置在下層上的抗蝕劑組成物之經施加膜;(b)將經施加膜遮罩曝露(成像式曝露)於輻射以產生一經曝露膜,其含有一潛在圖案;及使(c)該經曝露膜顯影以由該潛在圖案產生一抗蝕劑影像,以得到一製成物品,其包含設置在該基材上之該抗蝕劑影像。
合適之基材係陶瓷、金屬、或半導體,且較佳基材係含矽基材,包括例如二氧化矽、氮化矽、氮氧化矽、碳化矽、及碳氧化矽。
下層可係硬塗層(例如,有機硬塗層)或抗反射塗層(ARC)。下層係在形成抗蝕劑組成物之膜之塗佈步驟之前形成在基材上。一般而言,下層包含在遮罩曝露步驟中所用之成像波長下光吸收高且可與抗蝕劑組成物化學相容的材料。一般下層材料係交聯聚(4-羥基苯乙烯)、聚酯、聚丙烯酸酯、聚甲基丙烯酸酯、氟化聚合物、及環烯烴聚合物。例如,重氮萘醌(DNQ)或酚醛樹脂。
一般而言,在步驟(a)塗佈之前,下層或基材之表面(根據情況而定)在將抗蝕劑組成物施加或塗佈於其上之前經清潔。合適之清潔程序係所屬技術領域中已知的。抗蝕劑組成物可使用諸如旋塗、噴塗、或刮刀塗佈之技術來塗佈於下層上或直接塗佈於基材上,根據情況而定。一般而言,抗蝕劑膜包含(D)溶劑,且在遮罩曝露步驟中將經施加膜(乾燥之後)曝露於輻射之前經乾燥(軟烘)。乾燥或軟烘步驟可包含將抗蝕劑膜加熱至在30°至200℃、一般80°至140℃之範圍內的溫度,持續短時間段(例如20至90秒),一般大約為近 似1.0分鐘。所得乾燥膜具有0.01至5.0微米(μm)、或者0.02至2.5μm、或者0.05至1.0μm、及或者0.10至0.20μm之厚度。
在步驟(b)遮罩曝露中,將經施加膜(例如,乾燥經施加膜)透過一遮罩曝露於輻射,該遮罩經設計以在經遮罩曝露膜中產生潛在圖案。潛在圖案適用於最終得到具有預定圖案之圖案化半導體裝置。輻射可係UV、X射線、電子束、或EUV。EUV可具有13nm之波長。一般而言,輻射係具有157nm至365nm(例如,157nm至193nm)波長之UV輻射。合適之輻射源包括汞、汞/氙、及氙燈。特定輻射源係KrF準分子雷射或F2準分子雷射。在使用較長波長輻射(例如,365nm)時,光阻劑組成物中可包括敏化劑以增強輻射之吸收。令人滿意的光阻劑組成物之曝露一般係藉由以10至<100毫焦耳每平方釐米施加膜表面積(mJ/cm2)、或者10至50mJ/cm2的輻射照射經施加膜來達成。
在(b)遮罩曝露步驟中,輻射係由光阻劑組成物之曝露膜之遮罩曝露區中的光酸產生劑吸收,以在其中就地產生產物酸。在將光阻劑組成物曝露於輻射之後,所得曝露膜一般加熱至在30℃至200℃之範圍內的溫度,持續短時間段,其大約為近似1分鐘。當光阻劑組成物係正光阻劑時,產物酸導致光阻劑組成物中所存在的(A)矽倍半氧烷樹脂之酸可解離基團(例如,式(I)之Z基團)裂解,從而在曝露膜中形成具有顯影劑可溶解區之潛在圖案。
在步驟(c)顯影步驟中,曝露膜接觸合適之顯影劑以從曝露膜之潛在圖案產生抗蝕劑影像。顯影劑之組成係前文所述的。在 正光阻劑膜中,光阻劑之曝露區應可溶於顯影劑(「顯影劑可溶(developer-soluble)」),且(c)顯影步驟將曝露區溶解於顯影劑中,並留下以產生影像或圖案之形式的曝露正光阻劑膜之未曝露區。在曝露膜經顯影且產生影像或圖案形成之後,一般將剩餘抗蝕劑膜(「圖案(pattern)」)用水洗滌以移除任何殘餘顯影劑。
然後可將獲自步驟(c)及可選洗滌步驟之產生影像或圖案直接轉移至基材中,或透過下層轉移至基材中,根據情況而定。一般而言,圖案藉由用反應性離子諸如分子氧電漿及/或分子氧/二氧化硫電漿蝕刻來轉移。用於形成電漿之合適之技術及機制包括諸如電子迴旋共振(ECR)、螺旋波、感應耦合電漿(ICP)、及透射耦合電漿(TCP)之系統。
因此,光阻劑組成物可用於前述光微影術圖案化方法或製程中以製造圖案化結構。可製造之圖案化結構之實例係:作為電氣接觸之導管的金屬線路、洞、或導孔;絕緣部份(例如,鑲嵌溝槽或淺溝槽隔離);電容器結構之溝槽;及可用於製造積體電路裝置的其他結構。
一些實施例包括抗蝕劑塗佈晶圓,其包含設置在半導體晶圓上的光阻劑組成物層。光阻劑組成物可直接接觸半導體晶圓,或抗蝕劑塗佈晶圓可進一步包含設置在光阻劑組成物層與半導體晶圓之間的下層。下層可藉由用下層組成物塗佈半導體晶圓來形成。半導體晶圓當其用光阻劑組成物或下層組成物塗佈時可係裸晶圓,根據情況而定。或者,半導體晶圓可係藉由用底漆預處理裸半導體晶圓所製成 的經底塗晶圓。預處理可包含底漆之化學氣相沉積。底漆可包含有效增強光阻劑層或下層(根據情況而定)對半導體晶圓的黏附力的任何化合物。例如,底漆化合物可係六甲基二矽氮。
一些實施例包括軟烘晶圓,其係藉由在80°至140℃(例如,90°至120℃,例如,100°或110℃)之溫度下將抗蝕劑塗佈晶圓加熱短時間段(例如,30至120秒,例如,45至90秒、或50至70秒,例如,60秒)來製備。軟烘晶圓包含設置在半導體晶圓上或設置在下層上的軟烘抗蝕劑層,該下層設置在半導體晶圓上。
一些實施例包括遮罩-照射晶圓,其係藉由使用10至75毫焦耳每平方釐米(mJ/cm2)抗蝕劑塗佈晶圓或軟烘晶圓表面之劑量將抗蝕劑塗佈晶圓或軟烘晶圓曝露於輻射(包括例如248nm、193nm、157nm)來製備。在一些實施例中,輻射係在15至55mJ/cm2、或者20至50mJ/cm2、或者23至45mJ/cm2下投放。經遮罩-照射之晶圓包含設置在半導體晶圓上或設置在下層上的經遮罩-照射之抗蝕劑層,該下層設置在半導體晶圓上。經遮罩-照射之抗蝕劑層含有潛在圖案。
一些實施例包括曝露後烘烤晶圓,其係藉由在80°至140℃(例如,90°至120℃,例如,100°或110℃)之溫度下將遮罩-照射晶圓加熱短時間段(例如,30至120秒,例如,45至90秒、或50至70秒,例如,60秒)來製備。曝露後烘烤晶圓包含設置在半導體晶圓上或設置在下層上的曝露後烘烤抗蝕劑層,該下層設置在半導體晶圓上。曝露後烘烤抗蝕劑層含有潛在圖案。
一些實施例包括經顯影之抗蝕劑,其藉由將經遮罩-照射之晶圓或曝露後烘烤晶圓接觸顯影劑以便移除一些經遮罩-照射之抗蝕劑材料或一些曝露後烘烤抗蝕劑材料,而不移除材料之其他部分,以便形成抗蝕劑圖案或影像來製備。顯影劑可包含光阻劑組成物之正抗蝕劑配方之水性鹼溶液。正抗蝕劑配方包含成分(A)至(C)。經顯影之抗蝕劑包含設置在半導體晶圓上或設置在下層上的經顯影之抗蝕劑層,該下層設置在半導體晶圓上。經顯影之抗蝕劑層含有抗蝕劑圖案或抗蝕劑影像。抗蝕劑圖案或影像可包含具有藉由場發射掃瞄式電子顯微鏡(FE-SEM)表徵之截面輪廓的垂直特徵,其具有彎曲角頂或方形角頂。
一些實施例包括經清洗之抗蝕劑,其係藉由從經顯影之抗蝕劑清洗過量顯影劑來製備。過量顯影劑可緊貼至經顯影之抗蝕劑,且可藉由用清洗劑諸如揮發性有機溶劑清洗經顯影之抗蝕劑來移除。經清洗之抗蝕劑包含設置在半導體晶圓上或設置在下層上的經清洗之抗蝕劑層,該下層設置在半導體晶圓上。經清洗之抗蝕劑層含有抗蝕劑圖案或抗蝕劑影像。抗蝕劑圖案或影像可包含具有藉由FE-SEM表徵之截面輪廓的垂直特徵,其具有彎曲角頂或方形角頂。
一些實施例包括經蝕刻之抗蝕劑,其係藉由使用非等向蝕刻技術用蝕刻劑蝕刻經顯影之抗蝕劑或經清洗之抗蝕劑來製備。蝕刻劑可包含分子氧電漿、鹵素電漿、或順序施加分子氧電漿接著鹵素電漿。在一些實施例中,經蝕刻之抗蝕劑係分子氧電漿蝕刻之抗蝕劑。在其他實施例中,經蝕刻之抗蝕劑係鹵素電漿蝕刻之抗蝕劑。在 其他實施例中,經蝕刻之抗蝕劑係順序的分子氧電漿蝕刻接著鹵素電漿蝕刻之抗蝕劑。分子氧電漿蝕刻之抗蝕劑包含設置在半導體晶圓上或設置在分子氧電漿蝕刻之下層上的經蝕刻之抗蝕劑層,該下層設置在半導體晶圓上。鹵素電漿蝕刻之抗蝕劑層含有設置在圖案化結構上的鹵素電漿蝕刻之下層,該圖案化結構包含圖案化半導體晶圓。
一些實施例包括藉由將經蝕刻之抗蝕劑層從圖案化半導體晶圓移除所製備的圖案化結構。
一些實施例繪示於隨附圖式中。
圖1係基材之立視圖。在圖1中,基材1係需要塗佈的物品。基材1之實例係晶圓及片材。基材1可包含半導體材料。半導體材料可基於矽、鍺、或鎵化合物。例如,半導體材料可係砷化鎵或氮化鎵。或者,半導體材料可係多晶矽、單晶矽、或碳化矽。基材1可係均質材料,或可具有二或更多個層(未圖示)。例如,基材1可具有基底層及在基底層上的表面層。基底層可包含半導體材料,且可固持表面層。表面層可包含摻雜材料或半導體材料之化合物。可用作半導體材料之化合物的矽之化合物之實例係氮化矽、氧化矽、碳氮化矽、碳氧化矽、氮氧化矽、氧碳氮化矽。表面層可藉由以下形成:摻雜半導體材料之表面(例如,摻雜劑之氣相沉積);處理半導體材料之表面(例如,氧化);或將合適之材料沉積於半導體材料上(例如,氣相沉積)。
圖2係下層-在基材上之立視圖。在圖2中,下層-在基材上10包含設置在基材1上的下層2。下層2可係具有基底層及表面 層的基材1之實施例之表面層之實例。或者,下層2可相異於基材1並與基材1分開。例如,下層2可係抗反射塗層(ARC)或硬遮罩層。下層2可藉由任何合適之沉積製程諸如旋塗來設置在基材1上。
圖3係雙層抗蝕劑-在基材上之立視圖。在圖3中,雙層抗蝕劑-在基材上20包含設置在基材1上的雙層抗蝕劑26。雙層抗蝕劑26包含設置在下層2上的光阻劑組成物3。光阻劑組成物3可藉由任何合適之沉積製程諸如旋塗來設置在下層2上。光阻劑組成物3可係本發明光阻劑組成物的實施例,其係包含(A)矽倍半氧烷樹脂、(B)胺、及(C)光酸產生劑之可化學放大的光阻劑組成物。
圖4係經遮罩-照射之抗蝕劑-在基材上之立視圖。在圖4中,經遮罩-照射之抗蝕劑-在基材上30包含光阻劑組成物3,光阻劑組成物3設置在下層2上,下層2設置在基材1上,其中光阻劑組成物3含有潛在圖案4。光阻劑組成物3可係本發明化學可放大光阻劑組成物之一實施例,該組成物包含成分(A)、(B)、及(C)。最初,潛在圖案4包含化學放大光阻劑組成物,該組成物包含成分(A)及(B)、以及成分(C)之光促反應之產物酸。然後,潛在圖案4之化學放大光阻劑組成物經歷成分(A)矽倍半氧烷樹脂及產物酸之反應以得到產物聚合物。產物聚合物係藉由成分(A)之酸可解離基團之裂解反應來形成。裂解反應係藉由產物酸來實現並增強(放大)。若需要,裂解反應可藉由曝露後烘烤經遮罩-照射之抗蝕劑-在基材上30來進一步增強。在產物聚合物之形成完成之後,將經遮罩-照射之抗蝕劑-在基材上30準備用於後續步驟諸如顯影。
圖5係經顯影之抗蝕劑-在基材上之立視圖。在圖5中,經顯影之抗蝕劑-在基材上40包含光阻劑組成物3,光阻劑組成物3設置在下層2上,下層2設置在基材1上。光阻劑組成物3限定含有溝槽5之抗蝕劑影像。溝槽5係經由顯影步驟將經遮罩-照射之抗蝕劑-在基材上30(參見圖4)之潛在圖案4從中移除的體積空間(溝槽),從而曝露下層2之部分。
圖6係經電漿蝕刻之抗蝕劑-在基材上之立視圖。在圖6中,經電漿蝕刻之抗蝕劑-在基材上50包含光阻劑組成物3,光阻劑組成物3設置在下層2上,下層2設置在基材1上。光阻劑組成物3及下層2一起限定含有溝槽6之雙層影像。溝槽6係經由電漿蝕刻步驟將如圖5中所示曝露的下層2之部分從中移除的體積空間,從而曝露基材1之部分。溝槽6可具有大於5之長寬比。電漿蝕刻步驟可包含氧氣(O2)電漿。
圖7係經鹵素蝕刻之基材之立視圖。在圖7中,經鹵素蝕刻之基材60包含設置在基材1之剩餘部分上的下層2之剩餘部分。下層2之剩餘部分及基材1之剩餘部分一起限定包含溝槽7之轉移圖案。溝槽7係經由蝕刻步驟諸如鹵素蝕刻步驟將如圖6中所示曝露的基材1之部分從中移除的體積空間,從而在基材1中產生溝槽7。鹵素蝕刻步驟可包含氯氟碳電漿。氯氟碳可係三氯氟甲烷(CCl3F)、二氯二氟甲烷(CCl2F2)、二氯氟甲烷(HCCl2F)、氯二氟甲烷(HCClF2)、氯三氟甲烷(CClF3)、氯氟甲烷(H2CClF)、及其二或更多者之組合。
圖8係圖案化結構之立視圖。在圖8中,圖案化結構70不含圖7中所示之下層2之剩餘部分。圖案化結構70可包含:作為電氣接觸之導管的金屬線路、洞、或導孔;絕緣部份(例如,鑲嵌溝槽或淺溝槽隔離);電容器結構之溝槽;及可用於製造積體電路裝置的其他結構。
圖9係製造圖案化結構之製程之某些步驟之方案。在圖9中,製程包含步驟(A)至(F)、及可選地步驟(G)。圖9之製程之一實施例在此處係關於使用正光阻劑組成物來描述。在一些實施例中,正光阻劑組成物包含成分(A)至(C),且不含(缺乏)成分(H)交聯劑。步驟(A)包含將下層組成物塗佈於基材上以得到下層-在基材上(例如,圖2之下層-在基材上10)。步驟(B)包含將正光阻劑組成物塗佈於下層-基材上以得到雙層抗蝕劑-在基材上(例如,圖3之雙層抗蝕劑-在基材上20)。步驟(C)包含選擇性照射(例如,透過光罩)雙層抗蝕劑-在基材上之一部分,而不照射其另一部分,以得到經遮罩-照射之抗蝕劑-在基材上(例如,圖4之經遮罩-照射之抗蝕劑-在基材上30)。步驟(C)可進一步包含在步驟(D)之前軟烘經遮罩-照射之抗蝕劑-在基材上。步驟(D)包含將經遮罩-照射之抗蝕劑-在基材上接觸顯影劑(例如,水性鹼)以得到經顯影之抗蝕劑(例如,圖5之經顯影之抗蝕劑40)。在此實施例中,經顯影之抗蝕劑可缺乏正光阻劑組成物之經遮罩-照射之抗蝕劑部分(例如,圖4中所示之潛在圖案4可經移除),且可保留正光阻劑組成物之非照射部分(例如,可保留圖4之光阻劑組成物3之非照射部分)。步驟(D)可進一步包含從經顯影之抗蝕劑清洗過量顯 影劑,且在步驟(E)之前乾燥經清洗之經顯影之抗蝕劑。清洗劑可包含經純化之水。步驟(E)包含電漿蝕刻經顯影之抗蝕劑以得到經電漿蝕刻之抗蝕劑(例如,圖6之經電漿蝕刻之抗蝕劑50)。步驟(F)包含鹵素蝕刻經電漿蝕刻之抗蝕劑以得到經鹵素蝕刻之基材(例如,圖7之經鹵素蝕刻之基材60)。步驟(G)係可選的。步驟(G)包含移除雙層抗蝕劑材料之任何剩餘部分,而不移除任何基材材料或僅移除不顯著的基材材料,以得到正圖案化結構(例如,圖8之圖案化結構70)。步驟(G)可包含鹵素蝕刻,且可藉由延長進行步驟(F)之時間段來進行。蝕刻步驟包含任何合適之非等向蝕刻技術,諸如深反應性離子蝕刻。
圖10係使用FE-SEM獲得的發明性抗蝕劑影像實施例的垂直特徵截面輪廓的一系列黑白照片。如圖10所示,發明性垂直特徵截面輪廓具有彎曲角頂或方形角頂且理想地沒有多餘材料的邊緣,即不是T形的。圖10中從上到下影像的焦點分別係0.2μm,0.3μm和0.4μm。
圖11(非發明)係使用FE-SEM獲得的非發明抗蝕劑影像的垂直特徵截面輪廓的一系列黑白照片。非發明抗蝕劑影像係由含有現有胺添加劑(例如,7-二乙基胺基-4-甲基香豆素或三辛基胺)之非發明抗蝕劑組成物所構成。如圖11所示,非發明垂直特徵截面輪廓在其頂部具有多餘材料的邊緣,即是T形的。圖11中所有影像的焦點係0.2μm。
藉由以下的非限制性實例來進一步說明本發明,並且本發明實施例可以包括以下限制性實例之特徵和限制的任意組合。環境溫度為約23℃,除非另有指明。
29Si-NMR儀器及溶劑:使用Varian 400MHz汞光譜儀。使用C6D6作為溶劑。
1H-NMR儀器及溶劑:使用Varian 400MHz汞光譜儀。使用C6D6作為溶劑。
製備1:氫矽倍半氧烷(HSQ)樹脂之合成:將濃硫酸及發煙三氧化硫與甲苯混合以得到甲苯磺酸單一水化物(TSAM)之甲苯溶液。在攪拌下、在氮氣氣氛下,將三氯矽烷在甲苯(50g)中的溶液逐滴添加至100克(g)之TSAM之溶液(10g;0.075mole)。在添加之後,將所得混合物用去離子(DI)水洗滌至少三次,並且將所得有機相在減壓下旋轉蒸發以移除一些溶劑,從而得到HSQ樹脂於甲苯中之混合物並具有5至25wt%之固體含量。
胺(B-1):具有式(II)之胺係得自from Aldrich Chemical Company之三(2-(己基氧基)乙基)-胺。
胺(B-2):具有式(II)之胺係得自from Aldrich Chemical Company之三(2-(甲氧基乙氧基)乙基)-胺。
胺(B-3):具有式(II)之胺係得自from Aldrich Chemical Company之三(2-(3'-甲基丁基氧基)乙基)-胺。
胺(B-4):具有式(II)之胺係得自from Aldrich Chemical Company之三(1-甲基-乙醇-2-基)-胺。
光酸產生劑(C-1):三苯基鋶六氟磷酸鹽(Ph3S+PF6 -;CAS Reg.No.[57840-38-7]),得自Midori Kagaku Company,Limited。
發明性實例(IEx.)1a至1d:成分(A-1)之合成:具有式(I)之矽倍半氧烷樹脂1及其溶劑交換產物。向50:50w/w雙環[2.2.1]庚-5-烯-2-羧酸1,1-二甲基乙基酯(0.1莫耳)之無水甲苯溶液中添加鈀(0)1,3-二乙烯基-1,1,3,3-四甲基二矽氧烷複合物。在氮氣氣氛下,向所得混合物中緩慢添加製備1之氫矽倍半氧烷樹脂之混合物(含有約0.33莫耳HSQ樹脂)。在添加完成之後,將所得混合物在攪拌的同時回流8小時。所得矽氫化反應之進度係藉由1H-NMR針對雙環[2.2.1]庚-5-烯-2-羧酸1,1-二甲基乙基酯之烯烴氫原子之峰完全消失來監測,以得到矽倍半氧烷樹脂1於甲苯中之混合物(IEx.1a)。一旦峰消失,便將反應混合物之甲苯用1,2-丙二醇單甲醚單乙酸酯(PGMEA)、乳酸乙酯(EL)、或甲基異丁基酮(MIBK)溶劑交換,以分別得到矽倍半氧烷樹脂1於PGMEA中之混合物(IEx.1b)、矽倍半氧烷樹脂1於EL中之混合物(IEx.1c)、或矽倍半氧烷樹脂1於MIBK中之混合物(IEx.1d)。IEx.1a至1d之混合物含有4至45wt%含矽倍半氧烷樹脂1之適宜溶劑。例如,IEx.1b之混合物含有20wt%含矽倍半氧烷樹脂1之PGMEA。
IEx.2a to 2d:(預示)含矽倍半氧烷樹脂之組成物之合成。在個別的實驗中,將於IEx.1b之PGMEA中20wt%矽倍半氧烷樹脂1之個別等分試樣與不同的胺(B-1)、(B-2)、(B-3)、或(B-4)混 合,以分別得到不同的IEx.2a、2b、2c、或2d之含矽倍半氧烷樹脂之組成物。每100份矽倍半氧烷樹脂1使用0.10份胺。若需要時,分別將IEx.2a至2d之含矽倍半氧烷樹脂之組成物通過0.10μm聚四氟乙烯過濾器過濾以得到IEx.2a至2d之濾液。可替代地,IEx.2a至2d之含矽倍半氧烷樹脂之組成物未經過濾,直接用於製備對應的光阻劑組成物。
IEx.3a至3d:光阻劑組成物之製備。在4個個別的實驗中,在黃光下將光酸產生劑(C-1)與在PGMEA(IEx.1B)中20wt%矽倍半氧烷樹脂1的等分試樣混合,以得到四種混合物。每100份矽倍半氧烷樹脂1使用1.00份光酸產生劑(C-1)。將四種混合物中之各者以PGMEA稀釋,以得到含有16wt%矽倍半氧烷樹脂1及光酸產生劑(C-1)在PGMEA中的經稀釋之混合物。將不同的胺(B-1)、(B-2)、(B-3)、或(B-4)添加至不同的經稀釋之混合物,以分別得到不同的光阻劑組成物3a、3b、3c、或3d。每100份矽倍半氧烷樹脂1使用0.10份胺。
IEx.4a至4d:經抗蝕劑塗佈之晶圓的製備。在個別的實驗中,將光阻劑組成物IEx.3a、3b、3c、或3d通過0.10μm聚四氟乙烯過濾器過濾以得到對應的濾液,將該濾液旋塗到不同的裸矽晶圓上,以分別得到經抗蝕劑塗佈之晶圓IEx.4a、4b、4c、或4d。(「裸」意指矽晶圓不含有下層且未用底漆預處理。)各抗蝕劑塗佈晶圓包含直接設置在晶圓上的抗蝕劑層。各抗蝕劑層具有5,000埃(Å)之 厚度。再重複4次IEx.4a之程序,以得到共五個IEx.4a之經抗蝕劑塗佈之晶圓。
IEx.5a-1至5a-5:經軟烘烤之抗蝕劑的製備。在個別的實驗中,將IEx.4a之經抗蝕劑塗佈之晶圓在90℃的溫度下(IEx.5a-1)、在100℃的溫度下(IEx.5a-2至IEx.5a-4)、或在120℃的溫度下(IEx.5a-5)加熱60秒,然後冷卻,以分別得到IEx.5a-1至5a-5之經軟烘烤之抗蝕劑。
IEx.5b至5d:經軟烘烤之抗蝕劑的製備。在個別的實驗中,將IEx.4b、4c、或4d之經抗蝕劑塗佈之晶圓在100℃的溫度下加熱60秒,然後冷卻,以分別得到IEx.5b至5d之經軟烘烤之抗蝕劑。
IEx.6a-1至6a-5:經遮罩照射之抗蝕劑的製備。在個別的實驗中,將經軟烘烤之抗蝕劑IEx.5a-1至IEx.5a-5置於遮罩對準器並通過二元光罩及KrF掃描儀(ASML PAS 5500/850D),使用習知照明在248nm下曝露於輻射,使用30微焦耳每平方厘米(mJ/cm2)的表面積(IEx.6a-1)、28mJ/cm2(IEx.6a-2)、25mJ/cm2(IEx.6a-3)、19mJ/cm2(IEx.6a-4)、或20mJ/cm2(IEx.6a-5)的最佳曝露劑量(Eop)以分別得到經遮罩照射之抗蝕劑IEx.6a-1至6a-5。
IEx.6b至6d:經遮罩照射之抗蝕劑的製備。在個別的實驗中,將經軟烘烤之抗蝕劑IEx.5b、5c、或5d通過二元光罩及ASML PAS 5500/850D使用習知照明在248nm下曝露於輻射,使用 35mJ/cm2(IEx.6b)、24mJ/cm2(IEx.6c)、或50mJ/cm2(IEx.6d)的Eop以分別得到經遮罩照射之抗蝕劑IEx.6b至6d。
IEx.7a-1至7a-5:經曝露後烘烤之抗蝕劑的製備。在個別的實驗中,將IEx.6a-1至IEx.6a-5之經遮罩照射之抗蝕劑在100℃的溫度下(IEx.7a-1及IEx.7a-2)、在110℃的溫度下(IEx.7a-3)、或在120℃的溫度下(IEx.7a-4及7a-5)加熱60秒,然後冷卻,以分別得到IEx.7a-1至7a-5之經曝露後烘烤之抗蝕劑。
IEx.7b至7d:經曝露後烘烤之抗蝕劑的製備。在個別的實驗中,將IEx.6b、6c、或6d之經遮罩照射之抗蝕劑在100℃的溫度下加熱60秒,然後冷卻,以分別得到IEx.7b至7d之經曝露後烘烤之抗蝕劑。
IEx.8a-1至8a-5:經顯影之抗蝕劑的製備。在個別的實驗中,將在2.38wt%TMAH水溶液中顯影之IEx.7a-1至7a-5之經曝露後烘烤之抗蝕劑,在25℃之溫度下使用單獨的攪煉製程進行(不進行預潤濕)60秒,以分別得到IEx.8a-1至8a-5之經顯影之抗蝕劑。經顯影之抗蝕劑含有包括5μm結果的抗蝕劑圖案或影像。
IEx.8b至8d:經顯影之抗蝕劑的製備。在個別的實驗中,將在2.38wt%TMAH水溶液中顯影之IEx.7b、7c、或7d之經曝露後烘烤之抗蝕劑,在25℃之溫度下使用單獨的攪煉製程進行(不進行預潤濕)60秒,以分別得到IEx.8b至8d之經顯影之抗蝕劑。IEx.8b至8d之經顯影之抗蝕劑含有包括5μm結果的抗蝕劑圖案或影像。
IEx.9a-1至9a-5及9b至9d:經顯影之抗蝕劑的特徵化。將IEx.8a-1至8a-5及8b至8d之經顯影之抗蝕劑之各者以FE-SEM(Hitachi型號4700)成像以獲得截面輪廓;並用臨界尺寸掃描式電子顯微鏡(CD-SEM;Hitachi型號9380)以評估遮罩照射劑量,響應性隨著最佳能量(Eop)中臨界尺寸(CD)之每單位變化而變化。數據載於下表1中。
如表1中的數據所示,IEx.8a-1至8a-5及8b至8d之發明性經顯影之抗蝕劑有利地顯示出所欲的截面輪廓及每單位Eop變化之CD的緩慢變化(稱為低曝露寬容度)。具體而言,發明性經顯影之抗蝕劑有利地顯示具有彎曲角頂或方形角頂的截面輪廓。發明性經顯影之抗蝕劑亦顯示小於15之曝露寬容度。
在一些實施例中,發明性經顯影之抗蝕劑之特徵在於具有彎曲角頂或方形角頂;可替代地彎曲角頂;可替代地方形角頂的截 面輪廓之抗蝕劑圖像或影像。截面輪廓係使用如IEx.9a-1至9a-5及9b至9d中任一者所述之FE-SEM測定。
在一些實施例中,發明性經顯影之抗蝕劑之特徵在於7至15.0、可替代地7.0至14.4、可替代地7.1至14.0、可替代地14.0±1、可替代地13±1、可替代地12±1、可替代地10±1、可替代地8±1之曝露寬容度。在一些實施例中,發明性經顯影之抗蝕劑之特徵在於前述曝露寬容度之任一者,及具有方形角頂的截面輪廓之抗蝕劑圖像或影像。在一些實施例中,發明性經顯影之抗蝕劑之特徵在於前述曝露寬容度之任一者,及具有彎曲角頂的截面輪廓之抗蝕劑圖像或影像。
若需要時,IEx.8a-1至8a-5及8b至8d之發明性經顯影之抗蝕劑可用清洗劑清洗以除去多餘的顯影劑,並得到對應的經清洗之抗蝕劑。經清洗之抗蝕劑可使用蝕刻劑諸如分子氧電漿及/或含鹵素之電漿及非等向蝕刻技術來蝕刻以得到對應的經蝕刻之抗蝕劑。經蝕刻之抗蝕劑可使用蝕刻劑諸如含鹵素之電漿及非等向蝕刻技術來進一步蝕刻以得到對應的圖案化結構。圖案化結構包含圖案化矽晶圓且不含光阻劑組成物或由其製備的前述產物。
比較例1(非發明):除了使用7-二乙基胺基-4-甲基香豆素代替胺(B-4)及使用47mJ/cm2代替50mJ/cm2之Eop之外,根據用於製備IEx.8d的製程製備出經顯影之抗蝕劑,以得到比較例1之非發明經顯影之抗蝕劑。當使用前述FE-SEM及CD-SEM方法分析時,發現經顯影之抗蝕劑具有19.4之曝露寬容度。
比較例2(非發明):除了使用三辛基胺代替胺(B-2)之外,根據用於製備IEx.8b的製程製備出經顯影之抗蝕劑,以得到比較例2之非發明經顯影之抗蝕劑。當使用前述FE-SEM及CD-SEM方法分析時,發現經顯影之抗蝕劑具有15.2之曝露寬容度。
以下的申請專利範圍係以引用方式併入本文中,並且用語「請求項(claim)」可以用語「態樣(aspect)」取代。本發明之實施例也包括這些產生的有編號態樣。

Claims (14)

  1. 一種含矽倍半氧烷之組成物,其包含(A)矽倍半氧烷樹脂及(B)胺(oxaamine),其中:該(A)矽倍半氧烷樹脂具有式(I):[HSiO3/2]t1[Z-L-SiO3/2]t2[H(R1O)SiO2/2]d[(R1O)xSiO(4-x)/2]y[R2SiO3/2]t3(I),其中:下標t1係0.4至0.9之莫耳分率;下標t2係0.1至0.6之莫耳分率;下標d係0至0.45之莫耳分率;下標x係1、2、或3之整數;下標y係0至0.25之莫耳分率;下標t3係0至0.15之莫耳分率;t1+t2之總和=自0.9至1,且t1+t2+d+y+t3之總和=1;各R1獨立地係H或(C1-C6)烷基;各R2獨立地係HO-L-或HOOC-L-;各L獨立地係二價(C1-C20)烴基,其係未經取代或經至少1個取代基取代,該取代基獨立地選自(C1-C3)烷基、-OH、及氟原子至多全氟取代且包括全氟取代;及各Z係-OH、-COOH、-O-THP、-OCH(R3a)2、-OC(R3b)3、-COOCH(R3a)2、-COOC(R3b)3、-OCOOCH(R3a)2、或-OCOOC(R3b)3,其中THP係四氫哌喃-2-基; 其中各R3a獨立地係(C1-C6)烷基、(C3-C12)環烷基、(C6-C10)芳烷基、((C1-C6)烷基)3SiCH2CH2-,或2個R3a與彼等所鍵結之碳原子一起係(C3-C12)環烷基或(C6-C12)雙環烷基;及其中各R3b獨立地係(C1-C6)烷基、(C3-C12)環烷基、(C6-C10)芳烷基、((C1-C6)烷基)3SiCH2CH2-;或2個R3b與彼等所鍵結之碳原子一起係(C3-C12)環烷基或(C6-C12)雙環烷基,且剩餘的R3b獨立地係(C1-C6)烷基、(C3-C12)環烷基、(C6-C10)芳烷基、或((C1-C6)烷基)3SiCH2CH2-;或所有3個R3b與彼等所有所鍵結之碳原子一起係(C7-C12)雙環烷基;及該(B)胺具有式(II):RN (3-n)N-[(CH2CH(R4)O)m-R5]n(II),其中:下標m為1至10之整數;下標n係1、2、或3之整數;各RN獨立地係未經取代之(C1-C12)烷基;各R4獨立地係H或未經取代之(C1-C12)烷基;及各R5獨立地係H或(C1-C12)烷基,其係未經取代或獨立地經1、2、或3個(C1-C12)烷氧基取代。
  2. 如請求項1之含矽倍半氧烷之組成物,其中在該(A)矽倍半氧烷樹脂中:下標t1係0.4至0.65之莫耳分率;下標t1係0.65至0.9之莫耳分率;下標t2係0.1至0.35之莫耳分率; 下標t2係0.5至0.6之莫耳分率;下標d係0;下標d係自>0至0.45之莫耳分率;下標x係1;下標x係2;下標x係3;下標y係0;下標y係自>0至0.25之莫耳分率;下標t3係0;下標t3係自>0至0.15之莫耳分率;至少一個R1係H;下標d係自>0至0.45之莫耳分率,或下標y係自>0至0.25之莫耳分率,且至少一個R1係H;至少一個R1獨立地係(C1-C6)烷基;下標d係自>0至0.45之莫耳分率,或下標y係自>0至0.25之莫耳分率,且至少一個R1係(C1-C6)烷基;至少一個R2獨立地係HO-L-;下標t3係自>0至0.15之莫耳分率,且至少一個R2獨立地係HO-L-;至少一個R2獨立地係HOOC-L-;下標t3係自>0至0.15之莫耳分率,且至少一個R2獨立地係HOOC-L-; 至少一個L獨立地係未經取代之二價(C1-C20)烴基;至少一個L獨立地係未經取代之二價(C6-C10)雙環烷烴基;至少一個L係經至少1個(C1-C3)烷基取代之二價(C1-C20)烴基;至少一個L係經至少1個(C1-C3)烷基取代之二價(C6-C10)雙環烷烴基;至少一個L係經至少1個-OH基團取代之二價(C1-C20)烴基;至少一個L係經至少1個-OH基團取代之二價(C6-C10)雙環烷烴基;至少一個L獨立地係經至少1個氟原子至多全氟取代且包括全氟取代所取代之二價(C1-C20)烴基;至少一個L獨立地係經至少1個氟原子至多全氟取代且包括全氟取代所取代之二價(C6-C10)雙環烷烴基;至少一個Z係-OH;至少一個Z係-COOH;至少一個Z係-O-THP;至少一個Z係-OCH(R3a)2;至少一個Z係-COOCH(R3a)2;至少一個Z係-OCOOCH(R3a)2;至少一個Z係-OC(R3b)3;至少一個Z係-COOC(R3b)3;至少一個Z係-OCOOC(R3b)3; 至少一個R3a或R3b獨立地係(C1-C6)烷基;至少一個R3a或R3b獨立地係(C3-C12)環烷基;至少一個R3a或R3b獨立地係(C6-C10)芳烷基;至少一個R3a或R3b獨立地係((C1-C6)烷基)3SiCH2CH2-;2個R3a或2個R3b與彼等兩者所鍵結之碳原子一起係(C3-C12)環烷基或(C6-C12)雙環烷基;或所有3個R3b與彼等所有所鍵結之碳原子一起係(C7-C12)雙環烷基。
  3. 如請求項1或2之含矽倍半氧烷之組成物,其中在具有式(I)之該(A)矽倍半氧烷樹脂中,該Z-L-係選自以下單價羧酸酯:雙環[2.2.1]庚烷-5-基-2-羧酸二級脂族酯;雙環[2.2.1]庚烷-5-基-2-羧酸三級脂族酯;雙環[2.2.1]庚烷-6-基-2-羧酸二級脂族酯;或雙環[2.2.1]庚烷-6-基-2-羧酸三級脂族酯。
  4. 如請求項3之含矽倍半氧烷之組成物,其中Z-L-係選自以下單價羧酸酯:雙環[2.2.1]庚烷-5-基-2-羧酸1',1'-二甲基乙基酯;雙環[2.2.1]庚烷-6-基-2-羧酸1',1'-二甲基乙基酯;雙環[2.2.1]庚烷-5-基-2-羧酸1'-甲基乙基酯;雙環[2.2.1]庚烷-6-基-2-羧酸1'-甲基乙基酯;雙環[2.2.1]庚烷-5-基-2-羧酸金剛烷-1'-基酯;雙環[2.2.1]庚烷-6-基-2-羧酸金剛烷-1'-基酯;雙環[2.2.1]庚烷-5-基-2-羧酸3'-甲基金剛烷-1'-基酯; 雙環[2.2.1]庚烷-6-基-2-羧酸3'-甲基金剛烷-1'-基酯;雙環[2.2.1]庚烷-5-基-2-羧酸2'-甲基金剛烷-2'-基酯;雙環[2.2.1]庚烷-6-基-2-羧酸2'-甲基金剛烷-2'-基酯;雙環[2.2.1]庚烷-5-基-2-羧酸2'-乙基金剛烷-2'-基酯;雙環[2.2.1]庚烷-6-基-2-羧酸2'-乙基金剛烷-2'-基酯;雙環[2.2.1]庚烷-5-基-2-羧酸環己基酯;雙環[2.2.1]庚烷-6-基-2-羧酸環己基酯;雙環[2.2.1]庚烷-5-基-2-羧酸1'-乙基環戊基酯;雙環[2.2.1]庚烷-6-基-2-羧酸1'-乙基環戊基酯;雙環[2.2.1]庚烷-5-基-2-羧酸2'-羥基-2',6',6'-三甲基雙環[3.1.1]庚烷-3'-基酯;及雙環[2.2.1]庚烷-6-基-2-羧酸2'-羥基-2',6',6'-三甲基雙環[3.1.1]庚烷-3'-基酯。
  5. 如請求項1至4中任一項之含矽倍半氧烷之組成物,其中具有式(I)之該(A)矽倍半氧烷樹脂具有1,000至50,000之重量平均分子量(Mw)。
  6. 如請求項1至5中任一項之含矽倍半氧烷之組成物,其中在具有式(II)之該(B)胺中:下標m為1至5.5之整數;下標m為5.5至10之整數;下標n係1;下標n係2; 下標n係3;n係1或2且RN係未經取代之(C1-C4)烷基;至少一個R4獨立地係H;至少一個R4獨立地係未經取代之(C1-C12)烷基;至少一個R5獨立地係H;至少一個R5獨立地係(C1-C12)烷基,其係未經取代;至少一個R4及R5獨立地係未經取代之(C1-C5)烷基;至少一個R4及R5獨立地係未經取代之(C6-C12)烷基;至少一個R5獨立地係(C1-C12)烷基,其獨立地經1、2、或3個(C1-C12)烷氧基取代;至少一個R5獨立地係(C1-C12)烷基,其獨立地經1個(C1-C12)烷氧基取代;至少一個R5獨立地係(C1-C5)烷基,其獨立地經1個(C1-C5)烷氧基取代;至少一個R5獨立地係(C1-C12)烷基,其獨立地經2個(C1-C12)烷氧基取代;至少一個R5獨立地係(C1-C12)烷基,其獨立地經3個(C1-C12)烷氧基取代;至少一個R5獨立地係(C1-C5)烷基,其獨立地經1、2、或3個(C1-C5)烷氧基取代;或至少一個R5獨立地係(C1-C5)烷基,其獨立地經1、2、或3個(C6-C12)烷氧基取代。
  7. 如請求項1至5中任一項之含矽倍半氧烷之組成物,其中具有式(II)之該(B)胺係選自:三(2-(乙基氧基)乙基)-胺;三(1-甲基-乙醇-2-基)-胺;三(2-(3'-甲基丁基氧基)乙基)-胺;三(2-(己基氧基)乙基)-胺;三(2-(辛基氧基)乙基)-胺;三(2-(甲氧基甲氧基)乙基)-胺;三(2-(甲氧基乙氧基)乙基)-胺;三(2-(2'-乙氧基乙氧基)乙基)-胺;及三(2-(2'-(2"-甲氧基乙氧基)乙氧基)乙基)-胺。
  8. 一種含矽倍半氧烷之組成物,其包含如請求項1至7中任一項之含矽倍半氧烷之組成物及(C)光酸產生劑,其中該(C)光酸產生劑包含鎓鹽、含鹵素之化合物、重氮酮化合物、乙二醛二肟衍生物、碸化合物、磺酸酯化合物、或其等任何二或更多者之組合。
  9. 如請求項1至8中任一項之含矽倍半氧烷之組成物,其獨立地進一步包含一或多種成分:(D)溶劑或(E)助黏劑。
  10. 一種製成物品,其包含如請求項1至9中任一項之含矽倍半氧烷之組成物。
  11. 一種在一基材上製造一抗蝕劑影像之方法,該方法包含:將如請求項1至9中任一項之含矽倍半氧烷之組成物施加至一基材之一表面,以在該基材之該表面上形成一經施加膜,其中該含 矽倍半氧烷之組成物包含該(A)矽倍半氧烷樹脂、該(B)胺、及(C)光酸產生劑;使該經施加膜遮罩曝露於輻射以產生一經曝露膜,其含有一潛在圖案;使該經曝露膜顯影以由該潛在圖案產生一抗蝕劑影像,以得到一製成物品,該製成物品包含設置在該基材上之該抗蝕劑影像。
  12. 如請求項11之在一基材上製造一抗蝕劑影像之方法,其中:該基材包含一裸半導體晶圓;該基材包含一經底塗之半導體晶圓;該基材包含一經底塗之半導體晶圓,其係藉由用六甲基二矽氮烷底塗一裸半導體晶圓來製備;該基材包含一半導體晶圓,且該含矽倍半氧烷之組成物係直接施加在該半導體晶圓之一表面上;該基材包含一半導體晶圓,該半導體晶圓具有包含碳化矽、碳氮化矽、氮化矽、氧化矽、氮氧化矽、或氧碳氮化矽之一表面部分,且該含矽倍半氧烷之組成物係直接施加在該半導體晶圓之該表面上;該基材包含一下層,該下層設置在一半導體晶圓之一表面上,且該含矽倍半氧烷之組成物係直接施加在該下層上,而不直接施加在該半導體晶圓上;在該施加步驟之前,該含矽倍半氧烷之組成物進一步包含(D)溶劑,且該施加步驟包含旋塗; 該經施加膜進一步包含(D)溶劑,且該方法進一步包含在該遮罩曝露步驟之前乾燥該經施加膜(軟烘);該經施加膜具有0.01至5微米之厚度;該輻射係選自紫外(UV)光、X射線輻射、電子束輻射、及極紫外線(EUV)輻射;該輻射具有在13奈米(nm)至365nm之範圍內的波長;該輻射具有包含365nm、248nm、193nm、157nm、或13nm之波長;該顯影步驟包含使該經遮罩曝露膜與包含水性鹼之顯影液接觸;該方法進一步包含在30攝氏溫度(℃)至200℃之溫度下加熱該經遮罩曝露膜,以及在該顯影步驟之前冷卻該經遮罩曝露膜,且該顯影步驟包含使該冷卻的經遮罩曝露膜與包含水性鹼之顯影液接觸;或該顯影步驟包含使該經遮罩曝露膜與包含水性四甲基氫氧化銨之顯影液接觸。
  13. 如請求項11或12之在一基材上製造一抗蝕劑影像之方法,其中:該基材包含設置於一半導體晶圓之一表面上的一硬遮罩層,且該含矽倍半氧烷之組成物係直接施加於該硬遮罩層上,而不直接施加於該半導體晶圓上,且該方法進一步包含:氧氣(O2)電漿蝕刻該抗蝕劑影像以藉由將該抗蝕劑影像轉移至該硬遮罩層來蝕刻該硬遮罩層並得到一第一半導體裝置,該第一半導體裝置包含設置於該半 導體晶圓之該表面上的一雙層影像,其中該雙層影像包含一抗蝕劑影像層及一硬遮罩影像層,且其中該半導體晶圓之該表面之一區係由該雙層影像覆蓋,且該半導體晶圓之該表面之另一區係未覆蓋的;或該基材包含設置於一半導體晶圓之一表面上的一硬遮罩層,且該含矽倍半氧烷之組成物係直接施加於該硬遮罩層上,而不直接施加於該半導體晶圓上,且該方法進一步包含:(i)氧氣(O2)電漿蝕刻該抗蝕劑影像以藉由將該抗蝕劑影像轉移至該硬遮罩層中來蝕刻該硬遮罩層以得到一第一半導體裝置,該第一半導體裝置依序包含設置於該半導體晶圓之該表面上的一雙層影像,其中該雙層影像包含一抗蝕劑影像層及一硬遮罩影像層,且其中該半導體晶圓之該表面之一區係由該雙層影像覆蓋,且該半導體晶圓之該表面之另一區係未覆蓋的;以及(ii)含鹵素之蝕刻該第一半導體裝置之該半導體晶圓之該表面之該未覆蓋區以藉由移除剩餘經施加膜、至少一些該硬遮罩層、及該半導體晶圓之一些(但非所有)該未覆蓋區來將該雙層影像轉移至該半導體晶圓中,以得到一第二半導體裝置,該第二半導體裝置包含設置於一基底半導體層上的一半導體影像。
  14. 一種半導體裝置,其包含藉由如請求項13之方法製成之該第一半導體裝置或該第二半導體裝置。
TW106114418A 2016-05-03 2017-05-01 矽倍半氧烷樹脂及胺組成物 TW201807492A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662330914P 2016-05-03 2016-05-03
US62/330,914 2016-05-03

Publications (1)

Publication Number Publication Date
TW201807492A true TW201807492A (zh) 2018-03-01

Family

ID=58710062

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106114418A TW201807492A (zh) 2016-05-03 2017-05-01 矽倍半氧烷樹脂及胺組成物

Country Status (6)

Country Link
US (1) US10990012B2 (zh)
JP (1) JP7265356B2 (zh)
KR (1) KR20180137523A (zh)
CN (1) CN109071576B (zh)
TW (1) TW201807492A (zh)
WO (1) WO2017192345A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190169436A1 (en) * 2016-05-03 2019-06-06 Dow Silicones Corporation Silsesquioxane resin and silyl-anhydride composition
JP6989532B2 (ja) * 2016-06-16 2022-01-05 ダウ シリコーンズ コーポレーション ケイ素豊富なシルセスキオキサン樹脂
TWI785070B (zh) * 2017-07-31 2022-12-01 美商陶氏有機矽公司 聚矽氧樹脂、相關方法、以及由其形成的膜
CN108658036B (zh) * 2018-04-16 2019-02-26 广东工业大学 一种差异化微结构的同步湿法刻蚀加工方法
FI128886B (en) * 2019-02-25 2021-02-26 Pibond Oy Functional hydrogen silicon oxane polymers and their uses
WO2023064023A1 (en) 2021-10-15 2023-04-20 Dow Silicones Corporation High refractive index photoresist composition
WO2023143591A1 (zh) * 2022-01-30 2023-08-03 康希诺生物股份公司 一种用于核酸递送的新型可电离脂质及其lnp组合物和疫苗
WO2023143601A1 (zh) * 2022-01-30 2023-08-03 康希诺生物股份公司 一种用于核酸递送的新型可电离脂质及其lnp组合物和疫苗

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4189323A (en) 1977-04-25 1980-02-19 Hoechst Aktiengesellschaft Radiation-sensitive copying composition
JP3414197B2 (ja) * 1997-05-26 2003-06-09 住友化学工業株式会社 フォトレジスト組成物
KR20000076531A (ko) 1999-01-28 2000-12-26 무네유키 가코우 포지티브형 실리콘 함유의 감광성 조성물
TWI269940B (en) 1999-10-29 2007-01-01 Shinetsu Chemical Co Resist composition
US6531260B2 (en) 2000-04-07 2003-03-11 Jsr Corporation Polysiloxane, method of manufacturing same, silicon-containing alicyclic compound, and radiation-sensitive resin composition
JP2002006483A (ja) 2000-06-20 2002-01-09 Sumitomo Chem Co Ltd フォトレジスト組成物
US6749988B2 (en) * 2000-11-29 2004-06-15 Shin-Etsu Chemical Co., Ltd. Amine compounds, resist compositions and patterning process
US7261992B2 (en) 2000-12-21 2007-08-28 International Business Machines Corporation Fluorinated silsesquioxane polymers and use thereof in lithographic photoresist compositions
US7138472B2 (en) 2001-01-29 2006-11-21 Nalco Company High molecular weight polymers containing pendant salicylic acid groups for clarifying bayer process liquors
WO2002082185A1 (en) 2001-04-05 2002-10-17 Arch Specialty Chemicals, Inc. Perfluoroalkylsulfonic acid compounds for photoresists
JP2004212946A (ja) 2002-10-21 2004-07-29 Rohm & Haas Electronic Materials Llc Siポリマー含有フォトレジスト
WO2004055598A1 (ja) 2002-12-02 2004-07-01 Tokyo Ohka Kogyo Co., Ltd. 化学増幅型シリコーン系ポジ型ホトレジスト組成物
US7049052B2 (en) 2003-05-09 2006-05-23 Lam Research Corporation Method providing an improved bi-layer photoresist pattern
CN1832982B (zh) * 2003-07-03 2011-05-04 道康宁公司 感光性倍半硅氧烷树脂
US7276324B2 (en) 2003-11-14 2007-10-02 Shin-Etsu Chemical Co., Ltd. Nitrogen-containing organic compound, resist composition and patterning process
JP4881313B2 (ja) 2004-11-02 2012-02-22 ダウ・コーニング・コーポレイション レジスト組成物及びレジスト画像の形成方法
JP4377868B2 (ja) 2005-10-20 2009-12-02 株式会社住軽日軽エンジニアリング 防護柵用支柱の立設構造
JP2007133185A (ja) 2005-11-10 2007-05-31 Tokyo Ohka Kogyo Co Ltd 感光性樹脂組成物及びパターン形成方法
JP2007133266A (ja) * 2005-11-11 2007-05-31 Tokyo Ohka Kogyo Co Ltd 感光性樹脂組成物及びパターン形成方法
KR101293937B1 (ko) 2006-06-28 2013-08-09 다우 코닝 코포레이션 전자 유인성 관능 그룹을 갖는 염기 첨가제를 함유한 실세스퀴옥산 수지 시스템
JP5085649B2 (ja) 2006-06-28 2012-11-28 ダウ コーニング コーポレーション 電子吸引基を有する塩基性添加剤を含有するシルセスキオキサン樹脂システム
KR101057605B1 (ko) 2006-06-28 2011-08-18 도오꾜오까고오교 가부시끼가이샤 감광성 수지 조성물 및 패턴 형성 방법
JP2008083385A (ja) 2006-09-27 2008-04-10 Fujifilm Corp 感光性組成物及びそれを用いたパターン形成方法
JP5101541B2 (ja) * 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
CN102186861B (zh) * 2008-10-15 2014-04-16 关西涂料株式会社 具有聚合官能团的倍半硅氧烷化合物
CN102439523B (zh) 2009-07-23 2015-01-07 道康宁公司 用于双重图案化的方法和材料
US8389663B2 (en) * 2009-10-08 2013-03-05 International Business Machines Corporation Photo-patternable dielectric materials curable to porous dielectric materials, formulations, precursors and methods of use thereof
JP5459196B2 (ja) 2009-12-15 2014-04-02 信越化学工業株式会社 光硬化性ドライフィルム、その製造方法、パターン形成方法及び電気・電子部品保護用皮膜
JP5598351B2 (ja) * 2010-02-16 2014-10-01 信越化学工業株式会社 電子線用又はeuv用化学増幅ポジ型レジスト組成物及びパターン形成方法
CN101974119B (zh) 2010-09-28 2012-10-03 昆山西迪光电材料有限公司 含纳米硅深紫外正性光刻胶及其成膜树脂
CN101974120B (zh) * 2010-09-28 2012-12-26 昆山西迪光电材料有限公司 含纳米硅深紫外负性增幅型光刻胶及其成膜树脂
JP5791144B2 (ja) 2011-04-05 2015-10-07 学校法人東京理科大学 レジスト組成物、レジストパターン形成方法
JP5830201B2 (ja) * 2013-08-06 2015-12-09 株式会社ダイセル 硬化性樹脂組成物及びそれを用いた半導体装置
JP6219250B2 (ja) * 2013-12-13 2017-10-25 株式会社ダイセル ポリオルガノシルセスキオキサン、ハードコートフィルム、接着シート、及び積層物
JP5895957B2 (ja) 2014-02-20 2016-03-30 横浜ゴム株式会社 ハイパワー光ファイバー用接着剤組成物
KR102352289B1 (ko) 2014-04-17 2022-01-19 삼성디스플레이 주식회사 포토레지스트 조성물 및 이를 이용한 디스플레이 기판의 제조 방법
CN104448113B (zh) * 2014-11-25 2018-05-08 昆山西迪光电材料有限公司 含倍半萜的成膜树脂及其正性浸没式曝光193nm光刻胶
CN104403048B (zh) * 2014-11-25 2018-05-08 昆山西迪光电材料有限公司 含倍半萜内酯的成膜树脂及其正性浸没式曝光193nm光刻胶
US20190169436A1 (en) * 2016-05-03 2019-06-06 Dow Silicones Corporation Silsesquioxane resin and silyl-anhydride composition

Also Published As

Publication number Publication date
KR20180137523A (ko) 2018-12-27
US10990012B2 (en) 2021-04-27
WO2017192345A1 (en) 2017-11-09
US20190171106A1 (en) 2019-06-06
JP2019520436A (ja) 2019-07-18
JP7265356B2 (ja) 2023-04-26
CN109071576B (zh) 2021-12-28
CN109071576A (zh) 2018-12-21

Similar Documents

Publication Publication Date Title
TW201807492A (zh) 矽倍半氧烷樹脂及胺組成物
JP4297408B2 (ja) 厚膜フォトレジストとこれらの使用方法
KR102052032B1 (ko) 레지스트 적용에서 광산 발생제로서의 술폰산 유도체 화합물
TWI657111B (zh) 感光化射線性或感放射線性樹脂組成物、感光化射線性或感放射線性膜、具有感光化射線性或感放射線性膜的空白罩幕、光罩、圖案形成方法、電子元件的製造方法及電子元件
TWI317854B (en) Antireflective hardmask composition and methods for using same
TWI663476B (zh) 感放射線性或感光化射線性樹脂組成物以及使用其的抗蝕劑膜、空白罩幕、抗蝕劑圖案的形成方法、電子元件的製造方法及電子元件
JP3687907B2 (ja) 放射線感受性コポリマー、そのフォトレジスト組成物およびその深uv二層システム
TW200916539A (en) An antireflective coating composition comprising fused aromatic rings
JP2011520148A (ja) 反射防止コーティング組成物
TW201233666A (en) Lactone photoacid generators and resins and photoresists comprising same
JP2013515972A (ja) 縮合芳香環を含む反射防止コーティング組成物
TWI751171B (zh) 富矽之矽倍半氧烷樹脂
TW201213467A (en) A composition for coating over a photoresist pattern
JP2007258683A (ja) 有機シラン系重合体を含むレジスト下層膜用ハードマスク組成物およびこれを用いた半導体集積回路デバイスの製造方法
KR102217399B1 (ko) 실세스퀴옥산 수지 및 실릴-무수물 조성물
TW201918496A (zh) 光阻圖案的形成方法
US6146793A (en) Radiation sensitive terpolymer, photoresist compositions thereof and 193 nm bilayer systems
US8617792B2 (en) Aromatic ring-containing polymer for resist underlayer, resist underlayer composition including the same, and method of patterning device using the same
KR20120004192A (ko) 레지스트 하층막용 방향족 고리 함유 화합물, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용하는 소자의 패턴 형성 방법
TWI602025B (zh) 用於半導體基板塗覆物之非聚合性結合劑
KR20200037295A (ko) 포지티브 포토레지스트 특징과 네거티브 포토레지스트 특징 둘 모두를 갖는 실세스퀴옥산 조성물
JP7392056B2 (ja) レジスト下層膜用組成物およびこれを用いたパターン形成方法
JP2004191993A (ja) コンジュゲートポリマーパターン形成用組成物およびこれを用いるパターン形成方法