TW201718933A - 隨時間而變化之分段式壓力控制 - Google Patents

隨時間而變化之分段式壓力控制 Download PDF

Info

Publication number
TW201718933A
TW201718933A TW105123510A TW105123510A TW201718933A TW 201718933 A TW201718933 A TW 201718933A TW 105123510 A TW105123510 A TW 105123510A TW 105123510 A TW105123510 A TW 105123510A TW 201718933 A TW201718933 A TW 201718933A
Authority
TW
Taiwan
Prior art keywords
substrate
pressure
processing
processing chamber
gas
Prior art date
Application number
TW105123510A
Other languages
English (en)
Inventor
詹姆士 羅傑斯
凱爾 斯波爾丁
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201718933A publication Critical patent/TW201718933A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/16Vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本說明書提供用於處理基板的設備。提供處理腔室。用於支撐基板的基板支撐體係位於該處理腔室內。氣體入口將氣體提供至該處理腔室中。排壓系統圍繞基板之周圍排氣,其中圍繞基板之該周圍被分為至少三部分,其中該排壓系統控制排放壓力以控制橫越基板之氣體的速度,其中該排壓系統針對至少三部分在獨立的排放壓力下對周圍的各部分提供控制。

Description

隨時間而變化之分段式壓力控制
本揭露內容係關於電漿處理設備。更具體而言,本揭露內容係關於用於半導體處理之電漿處理設備。
在半導體晶圓處理期間,可使用電漿處理腔室來處理半導體晶圓。
不將本「先前技術」段落中之資訊假定為先前技術。
為達到前述且依據本揭露內容之目的,提供一種用於處理基板的設備。提供處理腔室。用於支撐基板的基板支撐體係位於該處理腔室內。氣體入口將氣體提供至該處理腔室中。排壓系統圍繞基板之周圍排氣,其中圍繞該基板之該周圍被分為至少三部分,其中該排壓系統控制排放壓力以控制橫越該基板之氣體的速度,其中該排壓系統針對至少三部分在獨立的排放壓力下對周圍的各部分提供控制。
在另一操作中,提供一種用於在處理腔室中處理基板的方法。將氣體提供至該處理腔室中之基板的上方。使該氣體自該基板的上方流至圍繞該基板之周圍邊緣。排放壓力係在圍繞該周圍邊緣的至少三分離區段中變化。
本發明之此等及其他特徵將於以下本發明之「實施方式」中、並結合下列圖示而加以詳述。
現將參考隨附圖式中所說明的一些較佳實施例而詳細描述本揭露內容。在以下敘述中,提出許多特定細節以提供對於本發明之徹底瞭解。然而,對於熟習本相技藝者而言,顯而易見地,本發明可在不具有此等特定細節之若干或全部的情況下加以實施。在其他情況下,為避免不必要地混淆本揭露內容,眾所周知的製程步驟及/或結構並未詳加描述。
用於將氣體注入半導體處理設備中的方法及設備係描述於由James Rogers等人在2014年11月25日提出申請、作為美國專利申請案第14/553,439號的「GAS INJECTION METHOD FOR UNIFORMLY PROCESSING A SEMICONDUCTOR SUBSTRATE IN A SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS」中,其係針對所有目的併入作為參考。
為幫助理解,依據本發明之一實施例,圖1係為電漿處理腔室100之示意性橫剖視圖。腔室100係由頂板102、底板104、及封閉壁106所界定。腔室100的內部空腔408係流體連接至排放通口110,其係連接至排放泵浦112,以將氣體自內部空腔108移除。在腔室100內,上電極組件113係設置於基板固持器101上方並與其隔開。周圍遮板組件115係界定於上電極組件113與基板固持器101之間,以在上電極組件113與基板固持器101之間形成電漿產生容積105的周圍邊界。
在一實施例中,製程氣體係自氣體源163透過上電極組件113中的通口117而如箭頭119所示流入電漿產生容積105內。並且,在一實施例中,製程氣體係透過周圍遮板組件115中的通口121而如箭頭123所示流出電漿產生容積105,並流入腔室100的內部空腔108內,製程氣體可透過排放通口110而自內部空腔108排放。在一實施例中,壓力控制環系統125係設置於通口121附近,且可在方向127上朝向及遠離通口121而移動,以調節自電漿產生容積105通過通口121的流體流。第一馬達160係藉由第一吊架161而連接至壓力控制環系統125,以在方向127上移動壓力控制環系統125。亦顯示第二馬達164。並且,在若干實施例中,上電極組件113中的製程氣體供應通口117係界定於多個同心區域(例如圖1中的區域A、B、C)中,而各區域可就製程氣體源及流率而具有分開且獨立的功能。應瞭解,圖1所描繪之製程氣體供應及流動控制配置係提供作為範例,並且不限制本說明書中所揭露之本發明的原理。
上電極組件113係連接至射頻(RF, radiofrequency)電源129,並被定義為將RF功率傳輸至電漿產生容積105。供應至上電極組件113的RF功率可為單頻率或多頻率。在其他實施例中,上電極組件113並不連接至RF電源,而係接地。在其他實施例中,上電極組件113係為一或更多感應線圈。上電極組件113亦包含若干加熱元件131以及若干冷卻元件133。不同的實施例可具有不同的電極配置。例如,在另一實施例中,上電極組件113可接地,而非具有電源129。
並且,上電極組件113的加熱元件131及/或冷卻元件133可界定於多個同心區域中,而各區域可就溫度控制而具有分開且獨立的功能。例如,圖1顯示上電極組件113中的三個溫度控制區域(區域A、B、C)。
基板固持器101係定義為用以固持例如半導體晶圓的基板103而暴露於電漿產生容積105。在一實施例中,基板固持器101係連接至射頻(RF, radiofrequency)電源111,俾能將RF功率傳輸至電漿產生容積105。RF電源111可為單頻率或多頻率。並且,在另一實施例中,基板固持器101可連接至參考接地電位。在一實施例中,基板固持器101係定義為靜電卡盤(ESC, electrostatic chuck)。
又,基板固持器101的加熱元件107及/或冷卻元件109可界定於多個同心區域中,而各區域可就溫度控制而具有分開且獨立的功能。例如,基板固持器101包含三個溫度控制區域(區域A、B、C),該等區域實質上係與上電極組件113之溫度控制區域配置相匹配。
控制器135係用以控制電漿處理腔室100。可將控制器135可控制地連接至電漿處理腔室的不同部分,例如RF電源129、第一馬達160、第二馬達164、及氣體源163。可將控制器135可控制地連接至其他裝置,例如排放泵浦112、下RF電源111、以及加熱與冷卻系統。
提供例如流體壓力計的複數壓力感測器172、174,且將其連接至電漿產生容積105的不同部分。壓力感測器172、174係連接至控制器135。反饋迴路可使用壓力感測器172、174及壓力控制環系統125以較佳地控制壓力及均勻性。
圖2係為壓力控制環系統125的底視圖,壓力控制環系統125包含整個壓力控制環的第一區段204、第二區段208、及第三區段212。在此實施例中,第一區段204係藉由第一馬達160及第一吊架161而移動,第二區段208係藉由第二馬達164及第二吊架165而移動,而第三區段212係藉由第三馬達168及第三吊架169而移動。 個別的區段204、208、及212使該等區段可被獨立地移動至不同的位置中。馬達160、164、168及吊架161、165、169形成驅動系統。
圖3A係為壓力控制環系統125的側視圖,壓力控制環系統125包含第一區段204、第二區段208、及第三區段212。虛線304顯示通口121之末端的位置,如此一來當區段之表面與虛線304齊平時,鄰接該區段的通口關閉。在此第一配置中,第二區段208係處於與虛線304齊平之完全關閉位置。第一區段204係處於完全開啟位置,而第三區段212係處於介於完全開啟位置與完全關閉位置之間的部分關閉位置。此第一位置將使氣體之大部分透過第一區段204上方的通口121而排出,並使某部分氣體透過第三區段212上方的通口121而排出,且無氣體透過第二區段208上方的通口121而排出。
圖4A係為由第一區段204所界定的電漿產生容積之第一扇區404、由第二區段208所界定的電漿產生容積之第二扇區408、及由第三區段212所界定的電漿產生容積之第三扇區412的示意圖。第一扇區404中的虛線顯示較多氣體透過第一扇區404而排出。第三扇區412中虛線的密度較小,指示較低流量的氣體透過第三扇區412而排出。第二扇區408不具有任何虛線,指示氣體未透過第二扇區408而排出。
圖3B係為第二配置中之壓力控制環系統125的側視圖。在此第二配置中,第三區段212係處於與虛線304齊平之完全關閉位置。第二區段208係處於完全開啟位置,而第一區段204係處於介於完全開啟位置與完全關閉位置之間的部分關閉位置。此第二配置將使氣體之大部分透過第二區段208上方的通口121而排出,並使某部分氣體透過第一區段204上方的通口121而排出,且無氣體透過第三區段212上方的通口121而排出。
圖4B係為第二配置中的電漿產生容積之第一扇區404、電漿產生容積之第二扇區408、及電漿產生容積之第三扇區412的示意圖。第二扇區408中的虛線顯示較多氣體透過第二扇區408而排出。第一扇區404中虛線的密度較小,指示較低流量的氣體透過第一扇區404而排出。第三扇區412不具有任何虛線,指示氣體未透過第三扇區412而排出。
圖3C係為第三配置中之壓力控制環系統125的側視圖。在此第三配置中,第一區段204係處於與虛線304齊平之完全關閉位置。第三區段212係處於完全開啟位置,而第二區段208係處於介於完全開啟位置與完全關閉位置之間的部分關閉位置。此第三位置將使氣體之大部分透過第三區段212上方的通口121而排出, 並使某部分氣體透過第二區段208上方的通口121而排出,且無氣體透過第一區段204上方的通口121而排出。
圖4C係為第三配置中的電漿產生容積之第一扇區404、電漿產生容積之第二扇區408、及電漿產生容積之第三扇區412的示意圖。第三扇區412中的虛線顯示較多氣體透過第三扇區412而排出。第二扇區408中虛線的密度較小,指示較低流量的氣體透過第二扇區408而排出。第一扇區404不具有任何虛線,指示氣體未透過第一扇區404而排出。
圖5係為顯示電腦系統500之高階方塊圖,電腦系統500適用於實施本發明之實施例中所使用的控制器135。電腦系統可具有許多實體形式,其範圍自積體電路、印刷電路板、及小型手持裝置至大型超級電腦。電腦系統500包含一或更多處理器502,且更可包含電子顯示裝置504(用於顯示圖形、文字、及其他資料)、主記憶體506(例如,隨機存取記憶體(RAM, random access memory))、儲存裝置508(例如,硬碟驅動機)、可移除式儲存裝置510(例如,光碟驅動機)、使用者介面裝置512(例如,鍵盤、觸碰屏幕、鍵板、滑鼠、或其他指向裝置等)、及通訊介面514(例如,無線網路介面)。通訊介面514容許軟體及資料經由連結於電腦系統500及外部裝置間傳送。系統亦可包含前述裝置/模組所連接至之通訊基礎架構516(例如,通訊匯流排、交越條、或網路)。
經由通訊介面514所傳送的資訊可為例如電子訊號、電磁訊號、光學訊號、或其他可透過通訊連結而被通訊介面514所接收之訊號的訊號形式,該通訊連結載送訊號且可使用電線或電纜、光纖、電話線、無線電話連結、射頻連結、及/或其他通訊通道加以實施。在具有如此之通訊介面的情況下,設想一或更多處理器502在執行上述方法步驟的過程中可自網路接收資訊,或可輸出資訊至網路。再者,本發明的方法實施例可僅在處理器上執行或可透過網路(例如網際網路)搭配分擔一部分之處理的遠端處理器來執行。
用語「非暫態電腦可讀媒體」通常係用以代表例如主記憶體、輔助記憶體、可移除式儲存裝置,及儲存裝置,如硬碟、快閃記憶體、磁碟驅動機記憶體、CD-ROM,及其他形式的永久記憶體,且不應被解釋為涵蓋例如載波或訊號之暫態標的。電腦編碼之範例包含例如由編譯器所產生的機器編碼、及包含藉由使用直譯器之電腦所執行之較高階編碼的檔案。電腦可讀媒體亦可為藉由體現於載波中之電腦資料訊號所傳輸且代表可由處理器執行之一連串指令的電腦編碼。   範例
在本發明之範例中,圖6係為本發明之實施例中所使用之製程的高階流程圖。在電漿處理腔室100中將例如晶圓之基板103放置於基板固持器101上。提供製程氣體(步驟608)。該氣體可為由氣體源163所提供之一或更多成分氣體。該氣體可為恆定的或可隨時間變化,例如週期性地變化。該氣體係形成為電漿(步驟612)。週期性地移動電漿環區段204、208、212,以便依方位角輪換電漿之排放(步驟616)。
在配方之特定範例中,製程氣體係自氣體源163流至電漿容積105。來自上電極組件113或基板固持器101之RF功率使製程氣體轉變為電漿。第一、第二、第三區段204、208、212係依序開啟及關閉。在此範例中,在至少一階段的期間,無論何時僅有一區段係為關閉。其他區段係為部分開啟或完全開啟。在此範例中,各區段每10秒會關閉。製程會持續直至完成所期望之結果(例如蝕刻或沉積)為止。較佳地,製程至少進行一循環。更佳地,製程至少進行5循環。更佳地,製程至少進行5至60循環。接著可停止製程氣體之流動。
此實施例藉由使壓力控制環區段204、208、212依時間排序通過不同位置而提供交替的流阻,來幫助避免由橫越基板之方位角對稱氣體流動所造成的化學非均勻性。此氣體流動排放之動態方法可使基板不同區域具有相似之時均化的滯留時間(或流動)成為可能,以提供較佳之時均化的化學均勻性。在此實施例中,氣體以相等量的時間流過不同扇區。在其他實施例中,氣體以非相等量的時間流過不同扇區。在若干實施例中,非相等量的時間會造成非相等的時間平均值。不同實施例可用於一或更多處理基板的各種製程,其包含處理基板上的堆疊體。如此的製程可用於蝕刻或沉積。如此的沉積可為物理氣相沉積(PVD, physical vapor deposition)、化學氣相沉積(CVD, chemical vapor deposition)、或原子層沉積(ALD, atomic layer deposition)。
可因射頻(RF)功率(例如電漿處理設備之真空腔室中的電漿密度)、溫度(例如被處理之半導體基板整個上表面或周圍腔室部件的溫度)、及/或化學物種(包含來自化學反應及化學非均勻性之活化的及未活化的分子及副產物)的空間變化而造成非均勻之半導體基板處理。本說明書中所揭露之方法的實施例會在半導體基板之處理期間改善化學非均勻性,俾更均勻地處理(例如電漿蝕刻)半導體基板。在此實施例中,化學非均勻性係藉由週期性地改變方位角的排放動作而改善。圖7針對先前技術之設備且使用單一壓力控制環,係為氣體或電漿的流動之徑向場速度的曲線圖。如圖7中所指示,該速度自基板的一側減少至基板中央,然後接著自基板中央增加至基板的對側。圖8針對本發明實施例,係為氣體或電漿的流動之時均徑向場速度的曲線圖。該速度在晶圓側部與在晶圓中央近乎相同。開啟及關閉區段之不同的時間安排方法提供另一控制參數以調整平均速度分布。可使用此控制參數以提供其他結果,例如非均勻之方位角控制,或提供不對稱的效果。
經由噴淋頭中央而朝向半導體基板中央噴射的氣體較自噴淋頭中央徑向向外而噴射的氣體具有更長的滯留時間。該較長的滯留時間係由於氣體必須自半導體基板中央徑向向外移動而橫越半導體基板之上表面所造成,其中氣體係藉由真空泵浦而自真空腔室移出。因氣體需要流至半導體基板的邊緣以藉此自真空腔室移出,在半導體基板的邊緣處亦較在半導體基板由其邊緣逕向向內的部分有較大比例的副產物。
由於此實施例提供非對稱的氣體流動,被處理的半導體基板之整個上表面的不同區域具有橫越該處之相似或相等的時均化滯留時間(或氣體流動),而故具有較佳的時均化化學均勻性及一致的平均速度場。較佳地,分離扇區係圍繞電漿處理腔室的中央而配置。
半導體處理設備可為電漿處理設備,例如包含使用射頻(RF)能量、微波能量、磁場、或類似物來產生電漿之能量來源的低密度、中等密度、或高密度電漿反應器。例如,可在變壓耦合電漿(TCP™)反應器(亦稱為感應耦合電漿腔室)、電子迴旋共振(ECR, electron-cyclotron resonance)電漿反應器、電容式放電反應器、電容耦合電漿處理腔室、或類似設備中產生高密度電漿。可與氣體供應輸送裝置之實施例一起使用的例示性電漿反應器包含Exelan™電漿反應器,例如2300 Excelan™電漿反應器,可從位於加州費利蒙(Fremont, California)的Lam Research Corporation取得。在一實施例中,如本說明書中所揭露之電漿處理系統包含真空腔室,其為感應耦合電漿處理腔室,其中氣體噴射系統為氣體分配板,或替代地,該腔室為電容耦合電漿處理腔室,其中氣體噴射系統可為噴淋頭電極。如本說明書中所使用,用語「噴淋頭」可指噴淋頭電極或氣體分配板。在電漿蝕刻製程期間,可將多種頻率施加至結合電極及靜電卡盤的基板支撐體。或者,在雙射頻源供給電漿反應器中,可將不同頻率施加至基板支撐體及噴淋頭電極,其與半導體基板分隔開,以便界定電漿產生區域。
包含於氣體源163中的氣體源之數量並不限於氣體源的任何特定數量,但較佳包含至少兩不同氣體源。舉例而言,氣體源163可包含多於或少於八種氣體源,例如多達十七種氣體源。可由個別氣體源所提供之該等不同的氣體包含單一氣體,例如O2 、Ar、H2 、Cl2 、N2 等,以及氣態的氟碳化合物及/或氟烴化合物,例如CF4 、CH3 F等。在一實施例中,處理腔室為電漿處理蝕刻腔室,而氣體源163可供應Ar、O2 、N2 、Cl2 、CH3 、CF4 、C4 F8 、及CH3 F或CHF3 (依其任何合適的順序)。可基於欲在電漿處理腔室中執行的所需製程來選擇由氣體源163所供應之該等特定氣體,該所需製程係由待處理的半導體基板之上表面的特定材料組成所決定,例如:特定乾式蝕刻及/或材料沉積製程。氣體源163可提供關於為執行蝕刻製程而可供應的氣體之選擇的廣泛通用性。氣體源163較佳亦包含至少一調節氣體源以調整氣體組成。調節氣體可為例如:O2 、惰性氣體(例如氬)、或反應性氣體(例如:氟碳化合物或氟烴化合物,如C4 F8 )。
在此實施例中,壓力控制環區段204、208、212,馬達160、164、168,以及吊架161、165、169,與通口121形成排壓系統,其圍繞基板之周圍排氣。在此實施例中,該排壓系統被分為三部分,其控制橫越基板之氣體的速度,且針對該三部分之周圍的各部分提供獨立排壓控制。此實施例提供控制器以針對該三部分之各者依方位角地、週期性地、及依序地改變壓力。
其他實施例可使用移動電漿環區段204、208、212的其他變化方式。例如,在另一實施例中,一區段可開啟,而其他兩區段完全關閉。可週期性地輪換開啟的區段。在另一實施例中,開啟的區段不會週期性地輪換,但可依循另一循環連續模式。在另一實施例中,可同時完全開啟兩區段,而剩下的該區段則完全關閉。開啟的區段可輪換或可依循另一循環模式。
在其他實施例中,壓力控制環係由四個區段所形成。在一此類實施例中,每次開啟兩相鄰區段。在另一實施例中,每次開啟一區段,且開啟的區段係週期性地圍繞基板而輪換。在此類實施例中,該四區段形成排壓系統,其圍繞基板之周圍排氣。該排壓系統被分為四個部分,其控制橫越基板之氣體的速度,且針對該四部分之周圍的各部分提供獨立排壓控制。
其他實施例可具有其他驅動系統。例如,可利用其他裝置來替代吊架以使該等區段連接至馬達。可利用一或更多馬達來替代三個分開的馬達。各區段可針對改善控制而使用兩個馬達。可使用例如光學裝置的其他壓力感測器。
在不同的實施例中,循環頻率係取決於氣體的滯留時間。較佳地,在週期性製程中,在少於30秒的時間內重複各循環,此情況表示該等區段輪流關閉,且特定的區段會在30秒內開啟然後關閉。更佳地,每1-20秒重複各循環。最佳地,每5至15秒重複各循環。藉由控制壓力,速度會受到控制。在另一實施例中,可將固定的區段配置用於製程。在此類製程中,所有區段被安置於固定位置中,其中至少一區段係較另一區段更為開啟。不同的開啟程度會使該等區段提供不同的壓力。此等不同的壓力可提供另一控制,其可用以改善另一特徵的均勻性。電漿處理腔室中的基板可經受複數不同的製程。在若干實施例中,至少一製程可使用該等區段之週期性開啟,而另一製程可使用該等區段之固定定位。在另一實施例中,該等區段可在一製程中處於固定位置,然後在另一製程中移動至另一固定位置。
在若干實施例中,待蝕刻之層係為介電層,例如矽氧化物或低介電係數(low-k)之介電材料。在其他實施例中,待蝕刻之層係為含金屬層、或導電層、或矽層,例如矽晶圓。
儘管本發明已就若干較佳實施例方面加以描述,然而仍存在落於本發明之範圍內的變化、置換、修改、及各種替代之均等物。亦應注意,存在許多實施本發明之方法及設備的替代方式。因此欲將以下所附之請求項解釋為將所有如此的變化、置換、及各種替代之均等物包含為落於本發明之真實精神及範圍內。
100‧‧‧電漿處理腔室/腔室
101‧‧‧基板固持器
102‧‧‧頂板
103‧‧‧基板
104‧‧‧底板
105‧‧‧電漿產生容積
106‧‧‧封閉壁
107‧‧‧加熱元件
108‧‧‧內部空腔
109‧‧‧冷卻元件
110‧‧‧排放通口
111‧‧‧射頻電源
112‧‧‧排放泵浦
113‧‧‧上電極組件
115‧‧‧周圍遮板組件
117‧‧‧通口
119‧‧‧箭頭
121‧‧‧通口
123‧‧‧箭頭
125‧‧‧壓力控制環系統
127‧‧‧方向
129‧‧‧射頻電源
131‧‧‧加熱元件
133‧‧‧冷卻元件
135‧‧‧控制器
160‧‧‧第一馬達
161‧‧‧第一吊架
163‧‧‧氣體源
164‧‧‧第二馬達
165‧‧‧第二吊架
168‧‧‧第三馬達
169‧‧‧第三吊架
172‧‧‧壓力感測器
174‧‧‧壓力感測器
204‧‧‧第一區段
208‧‧‧第二區段
212‧‧‧第三區段
304‧‧‧虛線
404‧‧‧第一扇區
408‧‧‧第二扇區
412‧‧‧第三扇區
500‧‧‧電腦系統
502‧‧‧處理器
504‧‧‧顯示裝置
506‧‧‧記憶體
508‧‧‧儲存裝置
510‧‧‧可移除式儲存裝置
512‧‧‧使用者介面裝置
514‧‧‧通訊介面
516‧‧‧通訊基礎架構
604‧‧‧步驟
608‧‧‧步驟
612‧‧‧步驟
616‧‧‧步驟
在隨附圖式之圖中,本揭露內容係藉由舉例的方式、而非限制的方式而加以說明,其中類似的參考符號指涉相似的元件,且其中:
圖1係為電漿處理腔室之示意性橫剖視圖。
圖2係為壓力控制環系統的底視圖。
圖3A至圖3C係為壓力控制環系統的側視圖。
圖4A至圖4C係為電漿產生容積的示意圖。
圖5繪示電腦系統,其適用於實施本發明之實施例中所使用的控制器。
圖6係為本發明之實施例中所使用之製程的高階流程圖。
圖7針對先前技術之設備,係為氣體或電漿的流動之徑向場速度的曲線圖。
圖8針對本發明實施例,係為氣體或電漿的流動之時均徑向場速度的曲線圖。
100‧‧‧電漿處理腔室/腔室
101‧‧‧基板固持器
102‧‧‧頂板
103‧‧‧基板
104‧‧‧底板
105‧‧‧電漿產生容積
106‧‧‧封閉壁
107‧‧‧加熱元件
108‧‧‧內部空腔
109‧‧‧冷卻元件
110‧‧‧排放通口
111‧‧‧射頻電源
112‧‧‧排放泵浦
113‧‧‧上電極組件
115‧‧‧周圍遮板組件
117‧‧‧通口
119‧‧‧箭頭
121‧‧‧通口
123‧‧‧箭頭
125‧‧‧壓力控制環系統
127‧‧‧方向
129‧‧‧射頻電源
131‧‧‧加熱元件
133‧‧‧冷卻元件
135‧‧‧控制器
160‧‧‧第一馬達
161‧‧‧第一吊架
163‧‧‧氣體源
164‧‧‧第二馬達
172‧‧‧壓力感測器
174‧‧‧壓力感測器

Claims (19)

  1. 一種用於處理基板的設備,其包含: 處理腔室; 基板支撐體,其用於在該處理腔室內支撐該基板; 氣體入口,其用於將氣體提供至該處理腔室中;及 排壓系統,其圍繞該基板之周圍排氣,其中圍繞該基板之該周圍被分為至少三部分,其中該排壓系統控制排放壓力以控制橫越該基板之氣體的速度,其中該排壓系統針對該至少三部分在獨立的排放壓力下對該周圍的各部分提供控制。
  2. 如申請專利範圍第1項之用於處理基板的設備,更包含排壓控制器,其用於針對各部分依方位角地、週期性地、及依序地改變該排放壓力。
  3. 如申請專利範圍第2項之用於處理基板的設備,更包含: 複數壓力感測器,其連接至該處理腔室;及 反饋迴路,其連接至該等壓力感測器及該排壓控制器,以將反饋提供至該排壓控制器。
  4. 如申請專利範圍第3項之用於處理基板的設備,其中該等壓力感測器為流體壓力計。
  5. 如申請專利範圍第4項之用於處理基板的設備,其中該排壓系統包含: 複數排放通口,其圍繞該基板之該周圍而分隔; 分段式壓力環,其由至少三區段所形成,其中該分段式壓力環係與該複數排放通口相鄰而設置;及 驅動系統,其可控制地連接至該排壓控制器,其中該驅動系統能夠獨立地移動各區段。
  6. 如申請專利範圍第5項之用於處理基板的設備,更包含兩電極,其用於在該處理腔室內形成電漿。
  7. 如申請專利範圍第1項之用於處理基板的設備,更包含: 複數壓力感測器,其連接至該處理腔室;及 反饋迴路,其連接至該等壓力感測器及一排壓控制器,以將反饋提供至該排壓控制器。
  8. 如申請專利範圍第7項之用於處理基板的設備,其中該等壓力感測器為流體壓力計。
  9. 如申請專利範圍第1項之用於處理基板的設備,更包含控制器,其中該排壓系統包含: 複數排放通口,其圍繞該基板之該周圍而分隔; 分段式壓力環,其由至少三區段所形成,其中該分段式壓力環係與該複數排放通口相鄰而設置;及 驅動系統,其可控制地連接至該控制器,其中該驅動系統能夠獨立地移動各區段,以容許一區段處於關閉位置而另一區段處於開啟位置。
  10. 如申請專利範圍第9項之用於處理基板的設備,其中該控制器依序地將該等區段移動至開啟位置及關閉位置,以使至少一區段在另一區段關閉時開啟。
  11. 如申請專利範圍第1項之用於處理基板的設備,更包含兩電極,其用於在該處理腔室內形成電漿。
  12. 一種用於在處理腔室中處理基板的方法,其包含: 將氣體提供至該處理腔室中之該基板的上方; 使該氣體流至圍繞該基板之周圍邊緣; 在圍繞該周圍邊緣的至少三分離區段中變化排放壓力。
  13. 如申請專利範圍第12項之用於在處理腔室中處理基板的方法,更包含週期性地及依序地在圍繞該周圍邊緣的該至少三分離區段中變化該排放壓力。
  14. 如申請專利範圍第13項之用於在處理腔室中處理基板的方法,更包含使該氣體形成為電漿。
  15. 如申請專利範圍第14項之用於在處理腔室中處理基板的方法,其中週期性地及依序地變化該排放壓力之該步驟輪流地變化該排放壓力。
  16. 如申請專利範圍第15項之用於在處理腔室中處理基板的方法,其中該處理腔室包含: 複數排放通口,其圍繞該基板之周圍; 分段式壓力環,其由至少三區段所形成,其中該分段式壓力環係與該複數排放通口相鄰而設置;及 驅動系統,其可控制地連接至控制器,其中該驅動系統能夠獨立地移動各區段,以容許一區段處於關閉位置而另一區段處於開啟位置,其中週期性地及依序地變化該排放壓力之該步驟包含依序地開啟及關閉各區段,其中當一區段關閉時,另一區段開啟。
  17. 如申請專利範圍第12項之用於在處理腔室中處理基板的方法,更包含使該氣體形成為電漿。
  18. 如申請專利範圍第12項之用於在處理腔室中處理基板的方法,更包含週期性地及依序地在圍繞該周圍邊緣的該至少三分離區段中變化排放壓力。
  19. 如申請專利範圍第12項之用於在處理腔室中處理基板的方法,其中該處理腔室包含: 複數排放通口,其圍繞該基板之周圍; 分段式壓力環,其由至少三區段所形成,其中該分段式壓力環係與該複數排放通口相鄰而設置;及 驅動系統,其可控制地連接至控制器,其中該驅動系統能夠獨立地移動各區段,以容許一區段處於關閉位置而另一區段處於開啟位置。
TW105123510A 2015-07-27 2016-07-26 隨時間而變化之分段式壓力控制 TW201718933A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/810,279 US9793097B2 (en) 2015-07-27 2015-07-27 Time varying segmented pressure control

Publications (1)

Publication Number Publication Date
TW201718933A true TW201718933A (zh) 2017-06-01

Family

ID=57886087

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105123510A TW201718933A (zh) 2015-07-27 2016-07-26 隨時間而變化之分段式壓力控制

Country Status (4)

Country Link
US (1) US9793097B2 (zh)
KR (1) KR20170013166A (zh)
CN (1) CN106409719B (zh)
TW (1) TW201718933A (zh)

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6523714B2 (ja) * 2015-03-05 2019-06-05 東京エレクトロン株式会社 プラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207102A1 (en) * 2016-01-15 2017-07-20 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and semiconductor manufacturing method
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP2018036896A (ja) * 2016-08-31 2018-03-08 株式会社ジャパンディスプレイ タッチセンサ及び表示装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102492797B1 (ko) * 2017-11-16 2023-01-30 삼성전자주식회사 샤워 헤드를 구비한 기판 처리 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN110767568B (zh) * 2018-07-26 2022-05-27 北京北方华创微电子装备有限公司 压力调节组件、下电极装置、工艺腔室和半导体处理设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10879052B2 (en) * 2018-11-21 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and manufacturing method using the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US20200312629A1 (en) * 2019-03-25 2020-10-01 Recarbon, Inc. Controlling exhaust gas pressure of a plasma reactor for plasma stability
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951694B (zh) * 2019-11-26 2024-05-10 中微半导体设备(上海)股份有限公司 等离子体处理装置及其半导体晶圆的处理方法
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
KR20030012565A (ko) * 2001-08-01 2003-02-12 나기창 플라즈마를 이용한 반도체 웨이퍼 클리닝 장치
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
JP4399452B2 (ja) * 2004-06-15 2010-01-13 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
KR20060020809A (ko) * 2004-09-01 2006-03-07 삼성전자주식회사 반도체 제조공정의 식각 장치
KR100859602B1 (ko) * 2004-11-01 2008-09-23 가부시키가이샤 히다치 고쿠사이 덴키 기판처리 장치 및 반도체 디바이스의 제조방법
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
KR101050077B1 (ko) * 2008-12-26 2011-07-19 주식회사 테스 기판 처리장치
US8261660B2 (en) * 2009-07-22 2012-09-11 Semprius, Inc. Vacuum coupled tool apparatus for dry transfer printing semiconductor elements
JP4815538B2 (ja) * 2010-01-15 2011-11-16 シーケーディ株式会社 真空制御システムおよび真空制御方法
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US8733280B2 (en) * 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US8778811B2 (en) * 2011-08-18 2014-07-15 Intermolecular, Inc. Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
US8609519B2 (en) * 2011-11-22 2013-12-17 Intermolecular, Inc. Combinatorial approach for screening of ALD film stacks
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
US20130152857A1 (en) * 2011-12-15 2013-06-20 Intermolecular, Inc. Substrate Processing Fluid Delivery System and Method
US20130153054A1 (en) * 2011-12-19 2013-06-20 Intermolecular, Inc. Combinatorial Processing Tool
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US8835329B2 (en) * 2012-11-06 2014-09-16 Intermolecular, Inc. Reactor cell isolation using differential pressure in a combinatorial reactor
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置

Also Published As

Publication number Publication date
CN106409719A (zh) 2017-02-15
US20170032943A1 (en) 2017-02-02
KR20170013166A (ko) 2017-02-06
CN106409719B (zh) 2019-07-05
US9793097B2 (en) 2017-10-17

Similar Documents

Publication Publication Date Title
TW201718933A (zh) 隨時間而變化之分段式壓力控制
US11670486B2 (en) Pulsed plasma chamber in dual chamber configuration
KR102426264B1 (ko) 에칭 방법
TWI593010B (zh) 具有多重射頻功率之三極體反應器設計
KR102099408B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR101164829B1 (ko) 일 세트의 플라즈마 처리 단계를 튜닝하는 방법 및 장치
KR101809150B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
TWI638404B (zh) 蝕刻用快速氣體切換
TWI596671B (zh) 具有混合模式脈動之蝕刻
KR101937727B1 (ko) 에칭 방법
JP2014531753A5 (zh)
KR102390726B1 (ko) 유기막을 에칭하는 방법
CN102484940A (zh) 局部等离子体约束和压强控制装置及其方法
KR20150024277A (ko) 반도체 장치의 제조 방법
EP3206223A1 (en) Plasma processing method and plasma processing apparatus
TWI605511B (zh) 進行蝕刻時利用頻譜以使射頻切換與氣體切換兩者同步
KR20140092257A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2012142495A (ja) プラズマエッチング方法及びプラズマエッチング装置
KR20160140469A (ko) 에칭 방법
TWI552221B (zh) 高蝕刻速率之提供方法
CN111048389A (zh) 等离子体处理方法和等离子体处理装置
KR20140132688A (ko) 플라즈마 에칭 방법
KR20190100874A (ko) 플라즈마 프로세스에서 오염물 입자들을 제거하기 위한 장치 및 방법들
US20230268190A1 (en) Plasma processing method and plasma processing system
TW202245053A (zh) 蝕刻方法及蝕刻處理裝置