CN106409719A - 时变分段压力控制 - Google Patents

时变分段压力控制 Download PDF

Info

Publication number
CN106409719A
CN106409719A CN201610463587.9A CN201610463587A CN106409719A CN 106409719 A CN106409719 A CN 106409719A CN 201610463587 A CN201610463587 A CN 201610463587A CN 106409719 A CN106409719 A CN 106409719A
Authority
CN
China
Prior art keywords
pressure
segmentation
gas
substrate
expulsion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610463587.9A
Other languages
English (en)
Other versions
CN106409719B (zh
Inventor
詹姆斯·罗格斯
凯尔·斯波尔丁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106409719A publication Critical patent/CN106409719A/zh
Application granted granted Critical
Publication of CN106409719B publication Critical patent/CN106409719B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/16Vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本公开涉及时变分段压力控制。一种用于处理衬底的装置被提供。处理室被提供。用于支撑所述衬底的衬底支撑件在所述处理室中。气体入口将气体提供到所述处理室中。排气压力系统排出围绕所述衬底的外围的气体,其中围绕所述衬底的所述外围被划分成至少三个部分,其中所述排气压力系统控制排气压力以控制衬底上方的所述气体的速度,其中所述排气压力系统为所述至少三个部分的所述外围的各个部分提供独立的排气压力控制。

Description

时变分段压力控制
技术领域
本公开涉及等离子体处理装置。更具体地,本公开涉及用于半导体处理的等离子体处理装置。
背景技术
在半导体晶片处理过程中,等离子体处理室可被用于处理半导体晶片。
本背景技术中的信息并不意味着是现有技术。
发明内容
为了实现上述内容且根据本公开的目的,一种用于处理衬底的装置被提供。处理室被提供。用于支撑所述衬底的衬底支撑件在所述处理室中。气体入口将气体提供到所述处理室中。排气压力系统排出围绕所述衬底的外围的气体,其中围绕所述衬底的所述外围被划分成至少三个部分,其中所述排气压力系统控制排气压力以控制衬底上方的所述气体的速度,其中所述排气压力系统为所述至少三个部分的所述外围的各个部分提供独立的排气压力控制。
在另一实现形式中,一种用于在处理室中处理衬底的方法被提供。气体被提供到所述衬底上方的所述处理室中。所述气体从所述衬底上方流到围绕所述衬底的外围边缘。排气压力在围绕所述外围边缘的至少三个离散分段中变化。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于处理衬底的装置,包括
处理室;
在所述处理室中用于支撑所述衬底的衬底支撑件;
将气体提供到所述处理室中的气体入口;和
排气压力系统,所述排气压力系统排出围绕所述衬底的外围的气体,其中围绕所述衬底的所述外围被划分成至少三个部分,其中所述排气压力系统控制排气压力以控制衬底上方的所述气体的速度,其中所述排气压力系统为所述至少三个部分的所述外围的各个部分提供独立的排气压力控制。
2.如条款1所述的装置,进一步包括用于针对每个部分在方位上周期性地和循序地改变压力的排气压力控制器。
3.如条款2所述的装置,进一步包括:
连接到所述处理室的压力传感器;和
连接到所述压力传感器和所述排气压力控制器的反馈回路,以提供反馈给所述压力控制器。
4.如条款3所述的装置,其中所述压力传感器是压力计。
5.如条款4所述的装置,其中所述排气压力系统包括:
围绕所述衬底的所述外围间隔开的多个排气口;
由至少三个分段形成的分段压力环,其中所述分段压力环邻近所述多个排气口放置;和
受控地连接到所述控制器的驱动系统,其中所述驱动系统能够独立地移动各个分段。
6.如条款5所述的装置,进一步包括用于在所述处理室中形成等离子体的两个电极。
7.如条款1所述的装置,进一步包括:
连接到所述处理室的压力传感器;和
连接到所述压力传感器和所述排气压力控制器的反馈回路,以提供反馈给所述压力控制器。
8.如条款7所述的装置,其中所述压力传感器是压力计。
9.如条款1所述的装置,进一步包括控制器,其中所述排气压力系统包括:
围绕所述衬底的所述外围间隔开的多个排气口;
由至少三个分段形成的分段压力环,其中所述分段压力环邻近所述多个排气口放置;和
受控地连接到所述控制器的驱动系统,其中所述驱动系统能够独立地移动各个分段,以允许在一个分段处于闭合位置的同时,另一个分段处于打开位置。
10.如条款9所述的装置,其中所述控制器循序移动所述分段到打开位置和闭合位置,使得当一个分段闭合时,至少一个分段是打开的。
11.如条款1所述的装置,进一步包括用于在所述处理室中形成等离子体的两个电极。
12.一种用于在处理室中处理衬底的方法,包括:
提供气体到所述衬底上方的所述处理室中;
使所述气体流到围绕所述衬底的外围边缘;
使排气压力在围绕所述外围边缘的至少三个离散分段中变化。
13.如条款12所述的方法,进一步包括周期性地和循序地使排气压力在围绕所述外围边缘的所述至少三个离散分段中变化。
14.如条款13所述的方法,进一步包括将所述气体形成为等离子体。
15.如条款14所述的方法,其中所述周期性地和循序地使所述排气压力变化交替地改变所述排气压力。
16.如条款15所述的方法,其中所述室包括:
围绕所述衬底的外围的多个排气口;
由至少三个分段形成的分段压力环,其中所述分段压力环邻近所述多个排气口放置;和
受控地连接到控制器的驱动系统,其中所述驱动系统能够独立地移动各个分段,以允许在一个分段处于闭合位置的同时,另一个分段处于打开位置,其中所述周期性地和循序地使所述排气压力变化包括循序地打开和闭合各个分段,其中当一个分段闭合时,另一个分段是打开的。
17.如条款12所述的方法,进一步包括将所述气体形成为等离子体。
18.如条款12所述的方法,进一步包括周期性地和循序地使排气压力在围绕所述外围边缘的所述至少三个离散分段中变化。
19.如条款12所述的方法,其中所述室包括:
围绕所述衬底的外围的多个排气口;
由至少三个分段形成的分段压力环,其中所述分段压力环邻近所述多个排气口放置;和
受控地连接到控制器的驱动系统,其中所述驱动系统能够独立地移动各个分段,以允许在一个分段处于闭合位置的同时,另一个分段处于打开位置。
下面会在本发明的详细描述中并结合附图更详细地描述本发明的这些特征以及其他特征。
附图说明
在附图中,本公开通过实施例的方式而非通过限制的方式进行阐释,其中类同的附图标记指代类同的元素,且其中:
图1是等离子体处理室的示意性剖视图。
图2是压力控制环系统的仰视图。
图3A-C是压力控制环系统的侧视图。
图4A-C是等离子体产生容积腔的示意图。
图5示出了适于实现本发明的实施方式中所使用的控制器的计算机系统。
图6是本发明一实施方式中所使用的工艺的高级流程图。
图7是现有技术设备的气体流或等离子体流的径向场速度的图形。
图8是本发明实施方式的气体流或等离子体流的时间平均径向场速度的图形。
具体实施方式
现在将参考附图中所示的本发明的一些优选实施方式详细描述本公开。在接下来的描述中,许多具体细节被阐述以提供对本发明的透彻理解。但对本领域技术人员而言,显而易见的是,本发明可在没有这些具体细节中的一些或全部的情况下被实施。另一方面,公知的工艺步骤和/或结构没有被详细描述以免不必要地模糊本发明。
用于将气体注入半导体处理装置的方法和装置被记载在James Rogers等人的、于2014年11月25日提交的、美国申请序列号为14/553,439的“GAS INJECTION METHOD FORUNIFORMLY PROCESSING A SEMICONDUCTOR SUBSTRATE IN A SEMICONDUCTOR SUBSTRATEPROCESSING APPARATUS”中,该申请通过引用的方式并入以用于所有目的。
为了帮助理解,图1是根据本发明一实施方式的等离子体处理室100的示意性剖视图。室100由顶板102、底板104和围壁106限定。室100的内部腔108流体连接到排气口110,排气口110连接到排气泵112,用于将气体从内部腔108移除。在室100内,上电极组件113被设置在衬底保持器101上方并与衬底保持器101间隔开。外围罩组件115被限定在上电极组件113和衬底保持器101之间以在上电极组件113和衬底保持器101之间形成等离子体产生容积腔105的外围边界。
在一实施方式中,工艺气体从气体源163通过上电极组件113中的端口117流入等离子体产生容积腔105,如箭头119所示。此外,在一实施方式中,工艺气体通过外围罩组件115中的端口121流出等离子体产生容积腔105,如箭头123所示,流到室100的内部腔108中,从室100的内部腔108,它们可通过排气口110被排出。在一实施方式中,压力控制环系统125邻近端口121设置,且在方向127上可朝着端口121或远离端口121移动,以实现从等离子体产生容积腔105穿过端口121的流体流的节流。第一马达160通过第一吊架161连接到压力控制环系统125,以在方向127上移动压力控制环系统125。第二马达164亦被示出。此外,在一些实施方式中,上电极组件113中的工艺气体供应口117被限定在多个同心区域(例如,图1中的区域A、B、C)中,其中每个区域就工艺气体源和流率而言具有各自的且独立的能力。应当理解,图1所描绘的工艺气体供应和流控制配置通过实施例的方式提供且不限制本文所公开的本发明的原理。
上电极组件113被连接到射频(RF)电源129且被限定来发送RF功率给等离子体产生容积腔105。供应给上电极组件113的RF功率可以是单个频率或多个频率。在其他实施方式中,上电极组件113不连接到RF电源,而是接地。在其他实施方式中,上电极组件113是一或多个电感线圈。上电极组件113还包括若干加热元件131和若干冷却元件133。不同的实施方式可具有不同的电极构造。例如,在另一实施方式中,不是具有电源129,而是可将上电极组件113接地。
此外,上电极组件113的加热元件131和/或冷却元件133可被限定在多个同心区域中,其中每个区域就温度控制而言具有各自的且独立的能力。例如,图1示出了上电极组件113中的三个温度控制区域(区域A、B、C)。
衬底保持器101被限定来保持衬底103(比如半导体晶片)暴露于等离子体产生容积腔105。在一实施方式中,衬底保持器101连接到射频(RF)电源111,以便发送RF功率给等离子体产生容积腔105。RF电源111可以是单个频率或是多个频率。此外,在另一实施方式中,衬底保持器101可连接到参考接地电位。在一实施方式中,衬底保持器101被限定为静电卡盘(ESC)。
此外,衬底保持器101的加热元件107和/或冷却元件109可被限定在多个同心区域中,其中每个区域就温度控制而言具有各自的且独立的能力。例如,衬底保持器101包括基本上匹配上电极组件113的温度控制区域构造的三个温度控制区域(区域A、B、C)。
控制器135被用来控制等离子体处理室100。控制器135可受控地连接到等离子体处理室的不同部分,比如RF电源129、第一马达160、第二马达164以及气体源163。控制器135可受控地连接到其他装置,比如排气泵112、下RF电源111以及加热和冷却系统。
多个压力传感器172、174(比如压力计)被提供并被连接到等离子体产生容积腔105的不同部分。压力传感器172、174连接到控制器135。反馈回路可使用压力传感器172、174和压力控制环系统125来更好地控制压力和均匀性。
图2是压力控制环系统125的仰视图,压力控制环系统125包括整个压力控制环的第一分段204、第二分段208和第三分段212。在该实施方式中,第一分段204由第一马达160和第一吊架161移动,第二分段208由第二马达164和第二吊架165移动,以及第三分段212由第三马达168和第三吊架169移动。各分段204、208和212允许分段被独立地移动到不同的位置。马达160、164、168和吊架161、165、169形成驱动系统。
图3A是压力控制环系统125的侧视图,压力控制环系统125包括第一分段204、第二分段208和第三分段212。虚线304示出了端口121的端部的位置,使得当一分段的表面与虚线304齐平时,邻近该分段的端口被封闭。在该第一构造中,第二分段208处于与虚线304齐平的完全闭合位置。第一分段204处于完全打开位置而第三分段212处于所述完全打开位置和完全闭合位置之间的部分闭合位置。该第一位置会导致大部分气体排放通过第一分段204上方的端口121以及一些气体排放通过第三分段212上方的端口121以及没有气体排放通过第二分段208上方的端口121。
图4A是由第一分段204限定的等离子体产生容积腔的第一扇区404、由第二分段208限定的等离子体产生容积腔的第二扇区408和由第三分段212限定的等离子体产生容积腔的第三扇区412的示意图。第一扇区404中的虚线表示更多气体被排放通过第一扇区404。在第三扇区412中,虚线的密度较小,表示较低流量的气体被排放通过第三扇区412。第二扇区408没有任何虚线,表示气体没有被排放通过第二扇区408。
图3B是第二构造中的压力控制环系统125的侧视图。在该第二构造中,第三分段212处于与虚线304齐平的完全闭合位置。第二分段208处于完全打开位置而第一分段204处于所述完全打开位置和完全闭合位置之间的部分闭合位置。该第二构造会导致大部分气体排放通过第二分段208上方的端口121以及一些气体排放通过第一分段204上方的端口121以及没有气体排放通过第三分段212上方的端口121。
图4B是第二构造中的等离子体产生容积腔的第一扇区404、等离子体产生容积腔的第二扇区408和等离子体产生容积腔的第三扇区412的示意图。第二扇区408中的虚线表示更多气体被排放通过第二扇区408。在第一扇区404中,虚线的密度较小,表示较低流量的气体被排放通过第一扇区404。第三扇区412没有任何虚线,表示气体没有被排放通过第三扇区412。
图3C是第三构造中的压力控制环系统125的侧视图。在该第三构造中,第一分段204处于与虚线304齐平的完全闭合位置。第三分段212处于完全打开位置,而第二分段208处于所述完全打开位置和完全闭合位置之间的部分闭合位置。该第三位置会导致大部分气体排放通过第三分段212上方的端口121以及一些气体排放通过第二分段208上方的端口121以及没有气体排放通过第一分段204上方的端口121。
图4C是第三构造中的等离子体产生容积腔的第一扇区404、等离子体产生容积腔的第二扇区408和等离子体产生容积腔的第三扇区412的示意图。第三扇区412中的虚线表示更多气体被排放通过第三扇区412。在第二扇区408中,虚线的密度较小,表示较低流量的气体被排放通过第二扇区408。第一扇区404没有任何虚线,表示气体没有被排放通过第一扇区404。
图5是示出适于实现本发明的实施方式中所使用的控制器135的计算机系统500的高级框图。该计算机系统可具有许多物理形式,范围从集成电路、印刷电路板和小型手持设备直到巨型超级计算机。计算机系统500包括一或多个处理器502,且进一步可包括电子显示设备504(用于显示图形、文本以及其他数据)、主存储器506(例如,随机存取存储器(RAM))、存储设备508(例如,硬盘驱动器)、可移动储存设备510(例如,光盘驱动器)、用户接口设备512(例如,键盘、触摸屏、小键盘、鼠标或其他定点设备等)以及通信接口514(例如,无线网络接口)。通信接口514允许软件和数据通过链路在计算机系统500和外部设备之间传送。该系统还可包括通信基础设施516(例如,通信总线、交叉杆或网络),上述设备/模块被连接到该通信基础设施516。
经由通信接口514传送的信息可以是以能够经由承载信号的通信链路被通信接口514接收的信号的形式,比如电子信号、电磁信号、光学信号或其他信号,且可使用电线或电缆、光纤、电话线、蜂窝电话链路、无线射频链路和/或其他通信通道实现。根据这种通信接口,可以预计,一或多个处理器502在执行上述方法步骤的过程中可从网络接收信息,或可向网络输出信息。此外,本发明的方法实施方式可在所述处理器上独自执行或可在网络(比如,因特网)上联合共享一部分处理的远程处理器来执行。
术语“非暂时性计算机可读介质”通常被用来指称诸如主存储器、辅助存储器、可移动存储器以及存储设备(比如,硬盘、闪存、磁盘驱动器存储器、CD-ROM以及其他形式的持久性存储器)等介质,且不应当被解释为涵盖暂时性主题,比如载波或信号。计算机代码的示例包括(比如,由编译器产生的)机器代码和含有由计算机使用解释器执行的更高级代码的文件。计算机可读介质还可以是通过被嵌在载波中并表示可由处理器执行的指令序列的计算机数据信号传送的计算机代码。
实施例
在本发明的实施例中,图6是本发明一实施方式中所使用的工艺的高级流程图。衬底103(比如晶片)被置于等离子体处理室100中的衬底保持器101上。工艺气体被提供(步骤608)。所述气体可以是由气体源163提供的一或多种组分气体。所述气体可以是不变的或可以随时间而变化,比如周期性变化。所述气体被形成为等离子体(步骤612)。等离子体环分段204、208、212被周期性地移动以便在方位上旋转等离子体的排放(步骤616)。
在配方的具体实施例中,工艺气体从气体源163流入等离子体容积腔105。来自上电极组件113或衬底保持器101的RF功率将工艺气体转变成等离子体。第一、第二和第三分段204、208、212循序打开和闭合。在该实施例中,在至少一个阶段,在任何时间只有一个分段闭合。其他分段或部分打开或完全打开。在该实施例中,每个分段每隔10秒钟闭合。该过程被继续,直到完成所希望的结果,比如蚀刻或沉积。优选地,该过程被执行至少一个循环。更优选地,该过程被执行至少5个循环。更优选地,该过程被执行5到60个循环。然后,工艺气体流可被停止。
该实施方式有助于通过利用压力控制环分段204、208、212通过在时间上的不同位置被定序来提供交变流阻来避免由整个衬底上的方位对称气体流造成的化学不均匀性。针对气体流排放的这种动态方法可使得衬底的不同区域具有类似的时均停留时间(或流量)成为可能,以提供更好的时均化学均匀性。在该实施方式中,气体以相等的时间量流过不同的扇区。在其他实施方式中,气体以不等的时间量流过不同的扇区。在一些实施方式中,不等的时间量导致不等的时均。不同实施方式可被用于用于处理衬底的一或多个不同工艺,处理衬底包括处理衬底上的堆层(stack)。这样的工艺可用于蚀刻或沉积。这样的沉积可以是物理气相沉积(PVD)、化学气相沉积(CVD)或原子层沉积(ALD)。
不均匀半导体衬底处理可通过RF功率(例如,等离子体处理装置的真空室中的等离子体密度)、温度(例如,横贯正被处理的半导体衬底的上表面或围绕室部件的温度)和/或化学物种(包括来自化学反应以及化学非均匀性的活化和非活化分子和副产品)的空间变化来驱动。本文所公开的方法的实施方式提高了半导体衬底的处理过程中的化学均匀性,使得半导体衬底被更均匀地处理(例如,等离子体蚀刻)。在该实施方式中,化学均匀性通过周期性地改变方位排放来提高。图7是使用单个压力控制环的现有技术设备的气体流或等离子体流的径向场速度的图形。如图7所示,从衬底的侧边到衬底的中心,速度下降,然后从衬底的中心到衬底的相对侧边,速度上升。图8是本发明实施方式的气体流或等离子体流的时均径向场速度的图形。速度在晶片的侧边和晶片的中心近似相同。打开和闭合所述分段的不同时序方案提供了调节平均速度轮廓的另一控制参数。该控制参数可被用于提供其他结果,比如非均匀方位控制或提供非对称效应。
穿过喷头的中心向半导体衬底的中心注入的气体比自喷头的中心径向向外注入的气体具有更长的停留时间。更长的停留时间出现是因为气体必须自半导体衬底的中心径向向外移动横贯半导体衬底的上表面,其中通过真空泵将气体从真空室去除。此外,因为气体需要流向半导体衬底的边缘从而被从真空室去除,所以在半导体衬底的边缘比在半导体衬底的自其边缘径向向内的部分具有更高数量的副产品。
由于该实施方式提供了非对称气体流,所以横贯正被处理的半导体衬底的上表面的不同区域具有类似的或相等的时均停留时间(或气体流),并因此具有更好的时均化学均匀性和均匀平均速度场。优选地,离散的扇区围绕等离子体处理室的中心被布置。
半导体衬底处理装置可以是等离子体处理装置,比如包括使用RF能量、微波能量、磁场等来产生等离子体的能量源的低密度、中密度或高密度等离子体反应器。例如,高密度等离子体可在变压器耦合等离子体(TCPTM)反应器,还有电感耦合等离子体室,电子回旋共振(ECR)等离子体反应器,电容型放电反应器,电容耦合等离子体处理室等中产生。可与气体供应输送装置的实施方式一起使用的示例等离子体反应器包括ExelanTM等离子体反应器,比如2300ExcelanTM等离子体反应器,可从位于加利福尼亚州弗里蒙特市的朗姆研究公司获得。在实施方式中,本文所公开的等离子体处理系统可包括真空室,该真空室是电感耦合等离子体处理室,在该电感耦合等离子体处理室中,气体注入系统是气体分配板,或替代地,该室是电容耦合等离子体处理室,在该电容耦合等离子体处理室中,气体注入系统可以是喷头电极。本文所使用的术语“喷头”可以是指喷头电极或气体分配板。在等离子体蚀刻工艺中,多个频率可被应用于包含电极和静电卡盘的衬底支撑件。替代地,在双RF源进给等离子体反应器中,不同频率可被应用于衬底支撑件和与半导体衬底间隔开以限定等离子体产生区域的喷头电极。
包括在气体源163中的气体源的数量并不限于任何具体数量的气体源,但优选地包括至少两个不同气体源。例如,气体源163可包括多于或少于八个的气体源,比如多达17个气体源。可通过各气体源提供的不同气体包括单独气体,比如O2、Ar、H2、Cl2、N2等,以及气态的氟碳化合物和/或氟烃化合物,比如CF4、CH3F等。在一实施方式中,处理室是等离子体处理蚀刻室而气体源163可(以任何合适的顺序)供应Ar、O2、N2、Cl2、CH3、CF4、C4F8和CH3F或CHF3。由气体源163供应的特定气体可基于将在等离子体处理室中执行的所希望的工艺进行选择,该所希望的工艺是通过待处理的半导体衬底的上表面的特定材料组分而确定的,例如,特定的干燥蚀刻和/或材料沉积工艺。气体源163可提供与可被供应用于执行蚀刻工艺的气体的选择有关的广泛的通用性。气体源163优选地还包括至少一个调整气体源以调整气体组分。调整气体可以是例如O2、惰性气体(比如,氩)或反应性气体(比如,氟碳气体或氟烃气体,例如C4F8)。
压力控制环分段204、208、212,马达160、164、168以及吊架161、165、169和端口121形成该实施方式中的排气压力系统,该排气压力系统排出围绕衬底的外围的气体。在该实施方式中,排气压力系统被划分成三个部分,控制衬底上方的气体的速度,并为该三个部分的外围的各个部分提供独立的排气压力控制。该实施方式提供了用于针对该三个部分中的每一个在方位上周期性地和循序地改变压力的控制器。
其他实施方式可使用移动等离子体环分段204、208、212的其他变化例。例如,在另一实施方式中,一个分段可被打开,同时两个其他分段完全闭合。打开的分段可被周期性地旋转。在另一实施方式中,打开的分段可不被周期性地旋转,但可遵循另一周期性的连续模式。在另一实施方式中,两个分段可同时被完全打开而其他分段完全闭合。打开的分段可被旋转或可遵循另一周期性的模式。
在其他实施方式中,压力控制环由四个分段形成。在一个这样的实施方式中,两个邻近分段在一个时间被打开。在另一实施方式中,一个分段在一个时间被打开,且打开的分段围绕衬底周期性地旋转。在这样的实施方式中,四个分段形成排气压力系统,该排气压力系统排出围绕衬底的外围的气体。排气压力系统被划分成四个部分,控制衬底上方的气体的速度,并为该四个部分的外围的各个部分提供独立的排气压力控制。
其他实施方式可具有其他驱动系统。例如,可用其他设备代替吊架来连接所述分段和马达。可用一或多个马达代替三个独立的马达。每个分段可使用两个马达以改善控制。可以使用其他压力传感器,比如光学设备。
在不同实施方式中,循环频率取决于气体的停留时间。优选地,在循环工艺中,每个循环在少于30秒内被重复,这意味着分段会交替闭合且特定分段在30秒内会被打开并接着闭合。更优选地,每个循环每隔1-20秒被重复。最优选地,每个循环每隔5-15秒被重复。通过控制压力,速度被控制。在另一实施方式中,静态分段构造可被用于工艺。在这样的工艺中,所有分段被置于静态位置,在所述静态位置,至少一个分段比另一分段打开更多。不同的打开量致使分段提供不同的压力。这些不同的压力可提供另一控制,该另一控制可被用于改善均匀性或其他特征。等离子体处理室中的衬底可经受多个不同工艺。在一些实施方式中,至少一个工艺可使用分段的周期性打开而另一工艺可使用分段的静态定位。在另一实施方式中,针对一个工艺,分段可处于一个静态位置,接着针对另一工艺,分段可移动到另一静态位置。
在一些实施方式中,待蚀刻的层是介电层,比如氧化硅或低k介电材料。在其他实施方式中,待蚀刻的层是含金属的层、或导电层、或硅层(比如,硅晶片)。
虽然本发明已就若干优选实施方式进行了描述,但还有落在本发明的范围内的改动方式、置换方式、修改方式和各种替代等同方式。还应当注意,实现本发明的方法和装置有许多替代方式。因此,意图在于将接下来所附的权利要求解释为包括落在本发明的真实精神和范围内的所有这样的改动方式、置换方式和各种替代等同方式。

Claims (10)

1.一种用于处理衬底的装置,包括
处理室;
在所述处理室中用于支撑所述衬底的衬底支撑件;
将气体提供到所述处理室中的气体入口;和
排气压力系统,所述排气压力系统排出围绕所述衬底的外围的气体,其中围绕所述衬底的所述外围被划分成至少三个部分,其中所述排气压力系统控制排气压力以控制衬底上方的所述气体的速度,其中所述排气压力系统为所述至少三个部分的所述外围的各个部分提供独立的排气压力控制。
2.如权利要求1所述的装置,进一步包括用于针对每个部分在方位上周期性地和循序地改变压力的排气压力控制器。
3.如权利要求1所述的装置,进一步包括:
连接到所述处理室的压力传感器;和
连接到所述压力传感器和所述排气压力控制器的反馈回路,以提供反馈给所述压力控制器。
4.如权利要求3所述的装置,其中所述压力传感器是压力计。
5.如权利要求1所述的装置,进一步包括控制器,其中所述排气压力系统包括:
围绕所述衬底的所述外围间隔开的多个排气口;
由至少三个分段形成的分段压力环,其中所述分段压力环邻近所述多个排气口放置;和
受控地连接到所述控制器的驱动系统,其中所述驱动系统能够独立地移动各个分段,以允许在一个分段处于闭合位置的同时,另一个分段处于打开位置。
6.如权利要求5所述的装置,其中所述控制器循序移动所述分段到打开位置和闭合位置,使得当一个分段闭合时,至少一个分段是打开的。
7.如权利要求1所述的装置,进一步包括用于在所述处理室中形成等离子体的两个电极。
8.一种用于在处理室中处理衬底的方法,包括:
提供气体到所述衬底上方的所述处理室中;
使所述气体流到围绕所述衬底的外围边缘;
使排气压力在围绕所述外围边缘的至少三个离散分段中变化。
9.如权利要求8所述的方法,进一步包括周期性地和循序地使排气压力在围绕所述外围边缘的所述至少三个离散分段中变化。
10.如权利要求8所述的方法,其中所述室包括:
围绕所述衬底的外围的多个排气口;
由至少三个分段形成的分段压力环,其中所述分段压力环邻近所述多个排气口放置;和
受控地连接到控制器的驱动系统,其中所述驱动系统能够独立地移动各个分段,以允许在一个分段处于闭合位置的同时,另一个分段处于打开位置。
CN201610463587.9A 2015-07-27 2016-06-23 时变分段压力控制 Active CN106409719B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/810,279 US9793097B2 (en) 2015-07-27 2015-07-27 Time varying segmented pressure control
US14/810,279 2015-07-27

Publications (2)

Publication Number Publication Date
CN106409719A true CN106409719A (zh) 2017-02-15
CN106409719B CN106409719B (zh) 2019-07-05

Family

ID=57886087

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610463587.9A Active CN106409719B (zh) 2015-07-27 2016-06-23 时变分段压力控制

Country Status (4)

Country Link
US (1) US9793097B2 (zh)
KR (1) KR20170013166A (zh)
CN (1) CN106409719B (zh)
TW (1) TW201718933A (zh)

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6523714B2 (ja) * 2015-03-05 2019-06-05 東京エレクトロン株式会社 プラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207102A1 (en) * 2016-01-15 2017-07-20 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and semiconductor manufacturing method
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP2018036896A (ja) * 2016-08-31 2018-03-08 株式会社ジャパンディスプレイ タッチセンサ及び表示装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102492797B1 (ko) * 2017-11-16 2023-01-30 삼성전자주식회사 샤워 헤드를 구비한 기판 처리 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN110767568B (zh) * 2018-07-26 2022-05-27 北京北方华创微电子装备有限公司 压力调节组件、下电极装置、工艺腔室和半导体处理设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10879052B2 (en) * 2018-11-21 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and manufacturing method using the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US20200312629A1 (en) * 2019-03-25 2020-10-01 Recarbon, Inc. Controlling exhaust gas pressure of a plasma reactor for plasma stability
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951694B (zh) * 2019-11-26 2024-05-10 中微半导体设备(上海)股份有限公司 等离子体处理装置及其半导体晶圆的处理方法
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1943019A (zh) * 2004-06-15 2007-04-04 株式会社日立国际电气 衬底处理装置以及半导体器件的制造方法
CN101019213A (zh) * 2004-11-01 2007-08-15 株式会社日立国际电气 衬底处理装置以及半导体设备的制造方法
US20110174380A1 (en) * 2010-01-15 2011-07-21 Ckd Corporation Vacuum control system and vacuum control method
US20120031559A1 (en) * 2010-08-04 2012-02-09 Lam Research Corporation Dual Plasma Volume Processing Apparatus for Neutral/Ion Flux Control
US20120061350A1 (en) * 2010-09-15 2012-03-15 Lam Research Corporation Methods for Controlling Plasma Constituent Flux and Deposition During Semiconductor Fabrication and Apparatus for Implementing the Same

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
KR20030012565A (ko) * 2001-08-01 2003-02-12 나기창 플라즈마를 이용한 반도체 웨이퍼 클리닝 장치
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
KR20060020809A (ko) * 2004-09-01 2006-03-07 삼성전자주식회사 반도체 제조공정의 식각 장치
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
KR101050077B1 (ko) * 2008-12-26 2011-07-19 주식회사 테스 기판 처리장치
US8261660B2 (en) * 2009-07-22 2012-09-11 Semprius, Inc. Vacuum coupled tool apparatus for dry transfer printing semiconductor elements
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8733280B2 (en) * 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US8778811B2 (en) * 2011-08-18 2014-07-15 Intermolecular, Inc. Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
US8609519B2 (en) * 2011-11-22 2013-12-17 Intermolecular, Inc. Combinatorial approach for screening of ALD film stacks
US20130152857A1 (en) * 2011-12-15 2013-06-20 Intermolecular, Inc. Substrate Processing Fluid Delivery System and Method
US20130153054A1 (en) * 2011-12-19 2013-06-20 Intermolecular, Inc. Combinatorial Processing Tool
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US8835329B2 (en) * 2012-11-06 2014-09-16 Intermolecular, Inc. Reactor cell isolation using differential pressure in a combinatorial reactor
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1943019A (zh) * 2004-06-15 2007-04-04 株式会社日立国际电气 衬底处理装置以及半导体器件的制造方法
CN101019213A (zh) * 2004-11-01 2007-08-15 株式会社日立国际电气 衬底处理装置以及半导体设备的制造方法
US20110174380A1 (en) * 2010-01-15 2011-07-21 Ckd Corporation Vacuum control system and vacuum control method
US20120031559A1 (en) * 2010-08-04 2012-02-09 Lam Research Corporation Dual Plasma Volume Processing Apparatus for Neutral/Ion Flux Control
US20120061350A1 (en) * 2010-09-15 2012-03-15 Lam Research Corporation Methods for Controlling Plasma Constituent Flux and Deposition During Semiconductor Fabrication and Apparatus for Implementing the Same

Also Published As

Publication number Publication date
US20170032943A1 (en) 2017-02-02
CN106409719B (zh) 2019-07-05
KR20170013166A (ko) 2017-02-06
TW201718933A (zh) 2017-06-01
US9793097B2 (en) 2017-10-17

Similar Documents

Publication Publication Date Title
CN106409719A (zh) 时变分段压力控制
US11404281B2 (en) Method of etching silicon containing films selectively against each other
US7837825B2 (en) Confined plasma with adjustable electrode area ratio
JP4970434B2 (ja) プラズマリアクタ及びプラズマリアクタの使用方法
JP2019216164A (ja) プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
TW200402795A (en) Capacitively coupled plasma reactor with magnetic plasma control
TWI633573B (zh) Plasma processing device and method
TW200901288A (en) Plasma processing equipment and its cleaning method
JP2005142568A (ja) ヘリカル共振器型のプラズマ処理装置
JP2008135739A (ja) プラズマ放射分布の磁気コントロール増強のためのプラズマ閉じ込めバッフルおよび流量平衡器
JP2002246368A (ja) ウェハー表面径方向均一プラズマを用いるウェハー処理システム
TW201841199A (zh) 電容耦合電漿處理裝置與電漿處理方法
KR20120049823A (ko) 플라즈마 처리 장치
JP4566373B2 (ja) 酸化膜エッチング方法
CN1226777C (zh) 等离子体装置及等离子体生成方法
JP7308110B2 (ja) シリコン酸化膜をエッチングする方法及びプラズマ処理装置
WO2020059596A1 (ja) 載置台及び基板処理装置
KR101139829B1 (ko) 다중 가스공급장치 및 이를 구비한 플라즈마 처리장치
KR101200743B1 (ko) 다중 유도결합 플라즈마 처리장치 및 방법
KR100785373B1 (ko) 플라즈마 처리 장치
TW201724166A (zh) 電漿處理裝置及電漿處理方法
US11705306B2 (en) Variable frequency and non-sinusoidal power generator using double side cooling, plasma processing apparatus including the same and method of manufacturing semiconductor device using the same
CN103177954B (zh) 使用温度可控的限制环的刻蚀装置
CN104947049A (zh) 镀膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant