TW201704551A - 鈷膜電沉積用化學添加劑及製程 - Google Patents

鈷膜電沉積用化學添加劑及製程 Download PDF

Info

Publication number
TW201704551A
TW201704551A TW105106607A TW105106607A TW201704551A TW 201704551 A TW201704551 A TW 201704551A TW 105106607 A TW105106607 A TW 105106607A TW 105106607 A TW105106607 A TW 105106607A TW 201704551 A TW201704551 A TW 201704551A
Authority
TW
Taiwan
Prior art keywords
substrate
cobalt
electrolyte
recessed features
electroplating
Prior art date
Application number
TW105106607A
Other languages
English (en)
Other versions
TWI723980B (zh
Inventor
娜塔莉亞 V 道比那
馬修 A 里斯比
強納森 大衛 李德
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201704551A publication Critical patent/TW201704551A/zh
Application granted granted Critical
Publication of TWI723980B publication Critical patent/TWI723980B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/12Electroplating: Baths therefor from solutions of nickel or cobalt
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/12Electroplating: Baths therefor from solutions of nickel or cobalt
    • C25D3/14Electroplating: Baths therefor from solutions of nickel or cobalt from baths containing acetylenic or heterocyclic compounds
    • C25D3/18Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating And Plating Baths Therefor (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

文中各種實施例係關於電鍍鈷至基板上的方法及設備。在許多情況中,鈷被電鍍至凹陷特徵部中。凹陷特徵部可包含一晶種層如鈷晶種層。電鍍可以由下往上的機制進行。由下往上之機制可藉著使用可以特定濃度存在於電解液中的特定添加劑(如加速劑及抑制劑)達成。又,可使用整平劑、潤濕劑及/或增亮劑以促進高品質電鍍結果。在各種實施例中,在進行電鍍之前預處理基板以自晶種層移除氧化物(在某些情況中為碳雜質)。又,電解液可具有特定導電率以促進基板表面各處的均勻電鍍結果。

Description

鈷膜電沉積用化學添加劑及製程
本發明係關於鈷電鍍方法,尤其關於將鈷電鍍至複數凹陷特徵部中的方法。
半導體業界長久以來使用電鍍在基板上沉積金屬。常經由電鍍沉積的一種金屬是銅,因此發展出特定的電解液與電鍍方法以最佳化基板上的銅沉積。當此些方法用於電鍍其他金屬如鈷時,無法得到高確質薄膜。例如,傳統的電鍍鈷膜可能遭到一些問題的挑戰如與不純與氧化後的晶種層相關的問題、過度粗糙的薄膜、在基板各處不均勻的薄膜沉積、及/或非所欲順形膜導致凹陷特徵部中的間隙/孔洞。因此,存在一種對於電鍍高品質鈷膜用之改良方法的需求。
文中的某些實施例係關於電鍍鈷用之方法與設備。在許多情況中,鈷係沉積於半導體基板上的凹陷特徵部中。在各種實施例中,沉積可經由由下往上的機制發生。
在文中實施例的一態樣中,提供一種將鈷電鍍至基板上之凹陷特徵部中的方法,該方法包含:在一電鍍室中接收該基板,該基板包含其上具有一鈷晶種層的該複數凹陷特徵部,該鈷晶種層具有約50 Å 或更少的一厚度,該複數凹陷特徵部具有介於約10-150 nm之間的一寬度;將該基板浸沒至一電解液中,該電解液包含硼酸、鹵素離子、鈷離子、及在該複數凹陷特徵部中達到由下往上無縫填充用的複數有機添加劑;及在提供由下往上填充的複數條件下電鍍鈷至該複數凹陷特徵部中,其中在電鍍期間該基板處之一電鍍電位相對於一HgSO4 硫酸汞參考電極具有介於約1.0-3.5 V之間的一強度。
在各種實施例中,該電解液可具有約10 mS/cm或更少的一導電率。電解液可具有約5 g/L 或更少的鈷離子濃度。該電解液的該複數有機添加劑可包含一抑制劑,該抑制劑包含一含氮基團。例如,在某些情況中該抑制劑包含一胺基團。在另一實例中,該抑制劑包含聚乙烯亞胺(PEI)。在各種實施例中亦可使用一加速劑。在某些情況中,該加速劑係選自由3-巰基-1-丙烷磺酸鈉鹽(MPS)、硫脲、及其組合所構成的群組。在某些此類情況中,該複數有機添加劑更包含一抑制劑,該抑制劑包含聚乙烯亞胺(PEI)。
在某些實施例中,該複數有機添加劑可以特定的濃度存在。例如在某些情況中,該抑制劑係以介於約10-60 ppm之間的一濃度存在於該電解液中,該加速劑係以介於約150-400 ppm之間的一濃度存在於該電解液中。在某些情況中此些添加劑濃度可用以在由下往上填充期間以一定電流密度電鍍,該電流密度係介於約0.5-7 mA/cm2 之間。
在某些實施例中,該複數有機添加劑包含一加速劑與一抑制劑,該電解液中該加速劑之該濃度對該抑制劑之該濃度的比值係至少約3:1(以ppm為單位量測)。在某些情況中,該電解液中之該加速劑的該濃度係介於約150-500 ppm之間且該電解液中之該抑制劑的該濃度係介於約10-60 ppm之間。
在某些情況中,該方法可包含複數額外步驟以預處理該基板。例如,該方法在該電鍍室中接收該基板之前可包含:藉著將該基板暴露至一遠端生成之含氫電漿預處理該基板以藉此減少存在於該鈷晶種層上的氧化物。該遠端電漿預處理可降低該晶種層之一片電阻至少約15%而達介於約50-1000 Ω/cm2 之間的一值。在另一預處理技術中,該方法在該電鍍室接收該基板之前可包含:將該基板被暴露至一還原氣體同時以至少約75°C的一溫度退火該基板,藉此減少存在於該鈷晶種層上的氧化物。該退火預處理可降低該晶種層之一片電阻至少約15%以達介於約50-1000 Ω/cm2 之間的一值。
電鍍期間所用之波形可影響填充結果的品質。在某些實施例中,該基板係於開電路條件下受到浸沒,其中在該浸沒之後發生一感應期間且在該感應期間無電壓或電流被施加於該基板,該感應期間具有介於約0.5-5秒之間的一持續期間。在某些情況中,在該電鍍期間該基板處之該電鍍電位相對於該HgSO4 硫酸汞參考電極至少約為1.5 V的一強度。例如,該基板處之該電鍍電位相對於該HgSO4 硫酸汞參考電極可至少約為1.8 V的一強度。
在某些情況中,該電解液中存在著額外的添加劑。例如,在某些情況中該電解液更包含一整平劑及/或一潤濕劑。該整平劑及/或該潤濕劑可包含聚乙二醇(PEG),在某些實施例中,PEG以介於約10-500 ppm之間的一濃度存在於該電解液中。該電鍍鈷可具有約3 nm或更低的一RMS粗糙度。在各種實施例中,該電解液包含鈷離子、硼酸、及聚乙二醇。在一特定的實例中,該電解液包含(i)介於約0.5-5 g/L之間的鈷(II)離子、(ii)介於約2-35 g/L之間的硼酸、(iii)介於約0.1-0.3 g/L之間的聚乙二醇、及(iv)介於約4-6之間的pH值。
在某些實施例中,該電解液可包含特定的一加速劑與一抑制劑。例如在各種情況中,該電解液可包含(i)選自由下列者所構成的一加速劑:N,N-二甲基-二硫代胺甲酸(-3-磺丙基)酯、3-巰基-丙磺酸-(3-丙基硫)酯、3-氫硫基-1-丙烷磺酸鹽、具有3-巰基-1-丙烷磺酸鉀鹽之碳酸-二硫-o-乙酯-s-酯、二磺丙基二硫化物、3-(苯并噻唑基-s-硫)丙磺酸鈉、吡啶丙磺酸甜菜鹽、1-鈉-3-巰基丙烷-1-磺酸鹽、N,N-二甲基-二硫氨基甲酸-(3-磺乙基)酯、3-巰基-乙基丙磺酸(3-磺乙基)酯、3-巰基-乙磺酸鈉鹽、碳酸-二硫-o-乙酯–s–酯、乙磺酸吡啶嗡鹽、硫脲、及上述者之組合;及(ii)選自由下列者所構成的一抑制劑:羧甲基纖維素、壬基酚聚乙二醇醚、聚乙二醇二甲醚、辛二醇二(聚亞烷基二醇醚)、辛醇聚亞烷基二醇醚、聚乙二醇油酸酯、聚乙烯丙二醇、聚乙二醇、聚乙烯亞胺、聚乙二醇二甲醚、聚氧丙二醇、聚丙二醇、聚乙烯醇、聚乙二醇硬脂酸酯、硬脂醇聚乙二醇醚、聚環氧乙烷、環氧乙烷–環氧丙烷共聚物、丁醇–環氧乙烷–環氧丙烷共聚物、2-巰基-5-苯并咪唑磺酸、2-巰基苯并咪唑(MBI)、苯并三唑、及上述者之組合。
該電解液亦可包含特定的一整平劑、一潤濕劑、及一增亮劑。在某些情況中該電解液包含(iii)選自由下列者所構成的一整平劑:烷基化的聚亞烷基亞胺、聚乙二醇、有機磺酸鹽、4-巰基吡啶、2-巰基噻唑啉、乙烯硫脲、硫脲、1-(2-羥乙基)2-咪唑烷基硫酮、鈉萘 2-磺酸鹽、丙烯醯胺、經取代之胺、咪唑、三唑、四唑、哌啶、嗎啉、哌嗪、吡啶、噁唑、苯并噁唑、喹啉、異喹啉、香豆素、丁炔1:4二醇與其衍生物、及上述者之組合;(iv)選自由下列者所構成的一潤濕劑:烷基苯氧基聚乙氧基乙醇、聚氧乙烯與聚乙二醇共聚物的化合物、聚氧乙烯與聚氧丙烯之嵌段與隨機共聚物、及上述者之組合;及(v)選自由下列者所構成的一增亮劑:3-氫硫基-1-丙烷磺酸鹽、2-巰基-乙烷磺酸鈉鹽、二硫二丙烷磺酸鹽、N,N-二甲基二硫代胺甲酸酯鈉鹽、(o-乙基二硫代碳酸)-s-(3-丙基硫)-酯鉀鹽、3-[(氨基-亞氨甲基)-硫]-1-丙磺酸鈉鹽、酚酞、內酯、內醯胺、環硫酸酯、環醯亞胺、環噁唑烷酮、不對稱之炔磺酸、(N-取代之吡啶)-烷基磺酸甜菜鹽、氨基聚芳基甲烷、吡啶衍生物、喹啉衍生物、磺化的芳醛、及上述者之組合。
在文中實施例的另一態樣中,提供一種用以將鈷電鍍至基板上之凹陷特徵部中的設備。此設備可包含用以施行文中所述之方法的硬體及具有用以施行文中所述之方法之指令的一控制器。在一實例中,該設備包含:一電鍍室,用以容納一電解液;一基板支撐件,用以將該基板支撐於該電解液中;一電源;及一控制器,包含用以下列步驟的複數指令:將該基板浸沒於該電解液中,該基板包含其上具有一鈷晶種層的複數凹陷特徵部,該鈷晶種層具有約50 Å 或更少的一厚度,該複數凹陷特徵部具有介於約10-150 nm之間的一寬度,該電解液包含硼酸、鹵素離子、鈷離子、及在該複數凹陷特徵部中達到由下往上無縫填充用的複數有機添加劑;在提供由下往上填充的複數條件下電鍍鈷至該複數凹陷特徵部中,其中在電鍍期間該基板上之一電鍍電位相對於一HgSO4 硫酸汞參考電極具有介於約1-3.5 V之間的一強度。
下面將參考相關圖示說明此些與其他特徵。
在本申請案中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」及「部分製造完成之積體電路」等詞可互換使用。熟知此項技藝者當瞭解,「部分製造完成之積體電路」一詞可指於矽晶圓上進行之積體電路製造之眾多階段中之任何階段期間的矽晶圓。在半導體裝置業界中所用的晶圓或基板通常具有200、300、或450 mm的直徑。又,「電解液」、「電鍍浴」、「浴」、「電鍍溶液」等詞可互換使用。下面的詳細說明假設本發明實施例係於晶圓上施行。然而,本發明實施例不限於此。工作件可具有各種形狀、各種尺寸、及各種材料。除了半導體晶圓外,可受惠於本發明實施例的其他工作件包含各種物品如印刷電路板、磁性記錄媒體、磁性記錄感應器、鏡、光學元件、光電裝置、微機械裝置等。
在下面的敘述中將提供各種特定細節以提供對所述實施例的全面瞭解。本發明之實施例可在缺乏部分或全部此些特定細節的情況下實施。在其他的情況下,不詳細說明習知的製程操作以免不必要地模糊本發明之實施例。雖然將利用特定實施例來說明本發明,但應瞭解,其意不在限制本發明。
文中各種實施例係關於將鈷電鍍至基板上的方法及設備。傳統沉積鈷用之電鍍方法苦於導致不良品質電鍍結果的各種問題。文中所述之方法揭露電鍍高品質鈷用之各種技術。所揭露的此些技術可依特定應用的需求加以組合,因此此些技術的此類組合應被視為落在所揭露之實施例的範疇內。順形填充與由下往上填充
由下往上填充係關於電鍍機制,在此電鍍機制中沉積材料自凹陷特徵部的底部向上成長。此填充行為係不同於順形填充,在順形填充中沉積材料自凹陷特徵部的側壁向內成長。下面將更進一步地說明由下往上的填充行為及能對此類行為產生貢獻的各種添加劑。
在將鈷電鍍至凹陷特徵部中時可能會遇到的一個問題為,鈷可能會順形沉積而非以由下往上的方式沉積。此順形填充行為會導致凹陷特徵部內形成縫隙或孔洞。當材料順形沉積在側壁上且愈朝填充階段的終點側壁愈彼此靠近時,會形成此些縫隙/孔洞。相對地,當沉積以由下往上的方式填充時,材料自凹陷特徵部的底部向上沉積,因此能避免形成孔洞/縫隙。下面將說明使用特定的化學添加劑有助於促進由下往上填充。
圖1A顯示一電鍍製程的掃描穿透電子顯微鏡(STEM)影像,此電鍍製程產生順形填充而導致中央的縫隙孔洞。影像的上部顯示凹陷特徵部在沉積期間的圖樣,影像的底部顯示凹陷特徵部在沉積後的圖樣。如圖示的上部所示,沉積以V形成長的特徵進行,對應至順形填充。如圖示的底部所示,所得的填充結果包含中央縫隙孔洞。
圖1B顯示一電鍍製程的STEM影像,此電鍍製程產生由下往上成長。影像的上部顯示凹陷特徵部在沉積期間的圖樣,影像的底部顯示凹陷特徵部在沉積後的圖樣。如圖示的上部所示,沉積以U形成長的特徵進行,對應至由下往上填充。如圖示的底部所示,所得的填充結果具有高品質且不包含任何縫隙或孔洞。
迄今,在凹陷特徵部中沉積銅的領域中大幅地最佳化由下往上填充方法。是以,電解液/添加劑封填係通常針對高品質銅電鍍最佳化。當此類電解液/添加劑被用於沉積鈷時,可能會大幅危及由下往上的填充行為且填充可能會自側壁向內進行而非由下往上進行。文中所揭露的特定添加劑在電鍍鈷的領域中可用以促進由下往上填充。抑制劑
雖然不欲受限於任何理論或作用機制,但發明人相信,抑制劑(單獨或與其他電鍍浴添加劑的組合)為表面動力極化化合物,其可造成基板-電解液界面各處的壓降大幅增加,尤其是當與表面化學吸附鹵化物(如氯化物或溴化物)一起作用時尤其如此。鹵化物可具有介於抑制劑分子與晶圓表面之間之化學吸附橋樑的功能。抑制劑具有下面兩種作用:(1)增加基板表面存在有抑制劑之區域相對於無抑制劑之區域的表面極化;及(2)增加基板表面的整體極化。增加的極化(局部及/或整體)係對應至增加的電阻率/阻抗,因此使特定施加電位下的電鍍較慢。
發明人相信,抑制劑不會被大量地摻入沉積薄膜中,但抑制劑可能會在電鍍浴中隨著時間因電解或化學分解而緩慢地減少。抑制劑通常為相對大的分子,且在許多情況中具有聚合物的本質。抑制劑包含具有S-功能基團及/或N-功能基團的聚乙烯與聚環氧丙烷、聚環氧乙烷與聚環氧丙烷的嵌段聚合物等。可用於電鍍鈷之各種實施例中的抑制劑的實例包含但不限於:羧甲基纖維素、壬基酚聚乙二醇醚、聚乙二醇二甲醚、辛二醇二(聚亞烷基二醇醚)、辛醇聚亞烷基二醇醚、聚乙二醇油酸酯、聚乙烯丙二醇、聚乙二醇、聚乙烯亞胺、聚乙二醇二甲醚、聚氧丙二醇、聚丙二醇、聚乙烯醇、聚乙二醇硬脂酸酯、硬脂醇聚乙二醇醚、聚環氧乙烷、環氧乙烷–環氧丙烷共聚物、丁醇–環氧乙烷–環氧丙烷共聚物、2-巰基-5-苯并咪唑磺酸、2-巰基苯并咪唑(MBI)、苯并三唑。亦可使用此些抑制劑的組合。
在某些實施例中,抑制劑包含一或多個氮原子如一胺基團或一亞胺基團。在某些實施例中,抑制劑為包含胺基團之聚合物或寡聚物,胺基團係藉由碳脂肪族的間隔物如CH2 CH2 或CH2 CH2 CH2 分離。在一特定的實施例中,抑制劑為聚乙烯亞胺 (PEI,亦已知為聚氮丙啶、聚[亞氨基(1,2-乙二基)]、或聚(亞氨基乙烯))。從文中所附的實驗結果可知PEI在鈷沉積文義下具有極佳之由下往上填充的特性。其他已辨識出之抑制劑尤其可用於鈷沉積領域。
選定的抑制劑可為相對強的抑制劑。較強的抑制劑(表現出較強的極化)顯現出在鈷沉積文義下能產生較佳的由下往上填充結果。選定的抑制劑可為比聚乙二醇(PEG)更強的抑制劑。在某些情況中,選定的抑制劑可為至少與PEI一樣強的抑制劑。圖2顯示PEI與PEG 抑制劑隨著時間的極化圖。圖2例示PEI為比PEG遠遠更強的抑制劑。尤其,使用PEI時的電鍍電壓比使用PEG時的電鍍電壓更負約150 mV。分子的組態與結構差異產生極化強度、表面吸附率及加速劑置換率(displacement rate)的變異。
抑制劑可具有線性鏈結構、分支結構、或兩者。在市售的抑制劑溶液中具有各種分子量的抑制劑分子常共同存在。部分由於抑制劑的大尺寸,相較於其他化合物,抑制劑化合物擴散進入凹陷特徵部中相對地慢。在某些實施例中,抑制劑(如所述,其可為含胺之聚合性材料)的平均分子量可介於約200-600 g/mol之間、或介於約300-1000 g/mol之間、或介於約500-1500 g/mol之間。相對地,當抑制劑聚乙二醇(PEG)用於電鍍銅時,其常以介於約1,500-10,000 g/mol之間的分子量供應。
抑制劑可以介於約1-10,000 ppm之間、例如介於約10-60 ppm之間、或介於約15-60 ppm之間、或介於約30-60 ppm之間的濃度提供於電解液中。在此文義下,百萬分率(ppm)為抑制劑分子在電解液中的質量分率。在某些情況中,抑制劑可具有至少約10 ppm、或至少約15 ppm、或至少約20 ppm、或至少約30 ppm、或至少約50 ppm的濃度。在此些或其他情況中,抑制劑可具有約1,000 ppm或更少、例如約500 ppm或更少、約100 ppm或更少、約75 ppm或更少、約60 ppm或更少、或約50 ppm或更少的濃度。不同抑制劑可具有不同的最佳濃度。在各種實施例中,抑制劑為PEI且以一濃度存在於電解液中,此濃度符合此段落中所列舉之界限的一或多者。加速劑
雖然不欲受限於任何理論或作用機制,但發明人相信,加速劑(單獨或與其他電鍍浴添加劑的組合)傾向局部地降低和抑制劑存在相關的極化效應,藉此局部地增加電沉積速率。在加速劑吸附最濃的區域中極化效應的降低最顯著(即極化的降低為加速劑吸附之局部表面濃度的函數)。
雖然加速劑可變得強吸附至基板表面且通常因為電鍍反應而變得橫向表面不可移動,但加速劑通常不會被大量包含於薄膜中。是以,當沉積金屬時加速劑會留在表面上。當凹陷特徵部填充時,凹陷特徵部內之表面上的局部加速劑濃度增加。相較於抑制劑,加速劑傾向於具有較小的分子並較快速地擴散進入凹陷特徵部中。
可用以沉積鈷之加速劑的實例包含但不限於:N,N-二甲基-二硫代胺甲酸(-3-磺丙基)酯、3-巰基-丙磺酸-(3-丙基硫)酯、3-氫硫基-1-丙烷磺酸鹽、具有3-巰基-1-丙烷磺酸鉀鹽之碳酸-二硫-o-乙酯-s-酯、二磺丙基二硫化物、3-(苯并噻唑基-s-硫)丙磺酸鈉、吡啶丙磺酸甜菜鹽、1-鈉-3-巰基丙烷-1-磺酸鹽、N,N-二甲基-二硫氨基甲酸-(3-磺乙基)酯、3-巰基-乙基丙磺酸(3-磺乙基)酯、3-巰基-乙磺酸鈉鹽、碳酸-二硫-o-乙酯–s–酯、乙磺酸吡啶嗡鹽、硫脲。在某些情況中,使用此些加速劑的組合。在一特定的實施例中,加速劑為3-氫硫基-1-丙烷磺酸鹽(常被稱為MPS或3-巰基-1-丙磺酸鈉鹽)及/或硫脲(TU)。在某些情況中,選定的加速劑可包含一磺酸成分及/或一酯成分及/或一硫醇成分。
在某些實施例中,加速劑係以介於約1-10,000 ppm之間、例如介於約150-500 ppm之間、或介於約150-400 ppm之間的濃度存在於電解液中。在某些實施例中,加速劑之濃度至少約為100 ppm、至少約為150 ppm、至少約為200 ppm、或至少約為300 ppm。在此些或其他情況中,加速劑之濃度可約為1,000 ppm或更少、或約為500 ppm或更少、或約為400 ppm或更少。最佳的加速劑濃度可取決於所用之加速劑的身分。在各種實施例中,加速劑為MPS且以一濃度存在於電解液中,此濃度符合此圖中所列舉之界限的一或多者。在某些其他的實施例中,加速劑為硫脲且以一濃度存在於電解液中,此濃度符合此段落中所列舉之界限的一或多者。
在某些實施例中,可使用抑制劑與加速劑的一特定組合。在一實施例中,抑制劑為PEI且加速劑為MPS。在另一實施例中,抑制劑為PEI且加速劑為硫脲。
可影響由下往上填充的另一特性為電解液中加速劑對抑制劑的比值。在某些實施例中,加速劑:抑制劑的比值至少約為3:1例如至少約4:1(以基於質量所量測到的ppm作為單位)。換言之,在溶液中加速劑可以至少是抑制劑的3或4倍的量。在此些或其他情況中,加速劑:抑制劑的比值可約為15:1或更少、例如約為10:1或更少、或約為6:1或更少、或約5:1或更少。整平劑
雖然不欲受限於任何理論或作用機制,但發明人相信,在某些情況中整平劑(單獨或與其他電鍍浴添加劑的組合)具有抑制劑的作用,其能抵消尤其是基板之裸露部分如晶圓受到處理的場域中及特徵部之側壁處與加速劑相關的去極化效應。整平劑可局部增加基板的極化/表面阻抗,藉此在整平劑存在的區域中顯現出局部電沉積反應。整平劑的局部濃度在某個程度上係由質量傳輸所決定。因此,整平劑主要作用在具有幾何特徵自表面突離的表面結構上。此作用「平滑」電沉積層的表面。本發明人相信,在許多情況中整平劑在基板表面處以一速率反應或被消耗,此速率係處於或接近擴散限制速率,因此連續地供給整平劑通常有利於維持各個時間處的均勻電鍍條件。
整平劑化合物大致上基於其電化學功能與影響而加以分類,且不需要特定的化學結構或配方。然而,整平劑通常包含一或多個氮、胺、醯亞胺或咪唑,且亦可包含硫官能基團。某些整平劑包含一或更多的五元與六元環及/或共軛有機化合物衍生物。氮基團可形成部分的環結構。在含胺的整平劑中,胺類可為一級、二級、或三級烷基胺。又,胺可為芳基胺或雜環胺。胺的實例包含但不限於二烷基胺、三烷基胺、芳烷基胺、三唑、咪唑、三唑、四唑、苯并咪唑、苯并三唑、哌啶、嗎啉、哌嗪、吡啶、噁唑、苯并噁唑、嘧啶、喹啉、及異喹啉。在某些情況中可使用咪唑與吡啶。整平劑的其他實例包含包含健那綠B(Janus Green B)與普魯士藍。整平劑化合物亦可包含乙醇鹽基團。例如,整平劑可包含一通用骨幹(類似於如在聚乙二醇或聚環氧乙烷中所見的骨幹)及安插至鏈上的胺官能基片段(如健那綠B)。環氧化物的實例包含但不限於環氧鹵丙烷如環氧氯丙烷與環氧溴丙烷、及聚環氧化物化合物。在某些情況中,可使用具有兩或更多環氧化物部分的聚環氧化物化合物,該兩或更多環氧化物根基部分係藉由含醚鍵聯接合在一起。某些整平劑化合物為聚合性的,但某些為非聚合性的。聚合性整平劑化合物的實例包含但不限於聚乙烯亞胺、聚醯胺胺、及一胺與各種氧環氧化物或硫化物的反應產物。非聚合性整平劑的一實例為6-巰基-己醇。整平劑的另一實例為聚乙烯吡咯烷酮(PVP)。
尤其在鈷沉積文義下可使用之整平劑的實例包含但不限於:烷基化的聚亞烷基亞胺、聚乙二醇、有機磺酸鹽、4-巰基吡啶、2-巰基噻唑啉、乙烯硫脲、硫脲、1-(2-羥乙基)2-咪唑烷基硫酮、鈉萘 2-磺酸鹽、丙烯醯胺、經取代之胺、咪唑、三唑、四唑、哌啶、嗎啉、哌嗪、吡啶、噁唑、苯并噁唑、喹啉、異喹啉、香豆素、丁炔1:4二醇與其衍生物。在某些情況中亦可使用此些整平劑的組合。
在某些實施例中,整平劑可以介於約1-10,000 ppm之間、或介於約10-1,000 ppm之間、或介於約10-500 ppm之間的濃度存在。在某些情況中,整平劑的濃度可至少約為1 ppm、或至少約為100 ppm。在此些或其他情況中,整平劑的濃度可約為500 ppm或更少、或約為1000 ppm或更少。由下往上填充
在由下往上填充的機制中,電鍍表面上的凹陷特徵部容易受到金屬由特徵部之底部朝向上部之電鍍。控制特徵部內與場域中的沉積速率有助於達到均勻填充並避免在特徵部中形成孔洞或縫隙。上述的三種添加劑有利於完成由下往上填充,每一種添加劑作用而選擇性地增加或減少基板表面處的極化。
在將基板浸沒至電解液中後,抑制劑吸附至基板表面尤其是場域之裸露區域中的基板表面。在初始電鍍階段處,介於凹陷特徵部之頂部與底部之間之抑制劑濃度存在著實質差異。此差異存在係由於抑制劑分子的相對大尺寸及其對應的緩慢傳輸特性。經過此相同的初始電鍍時間,發明人相信加速劑在電鍍表面(包含特徵部之底部與側壁)上以實質上均勻的低濃度累積。由於加速劑比抑制劑更快速地擴散進入特徵部,因此特徵部內(尤其是特徵部底部處)之加速劑:抑制劑的初始比值係相對地高。特徵部內相對高的初始加速劑:抑制劑比值會促進自特徵部底部向上的電鍍及自側壁向內的電鍍。同時,在場域中的初始電鍍速率因加速劑:抑制劑的較低比值而相對地低。是以,在初始電鍍階段中,在特徵部內的電鍍進行相對快速而場域中的電鍍進行相對緩慢。
當電鍍持續進行時,金屬填充特徵部且特徵部內的表面積減少。隨著電鍍持續進行,由於表面積減少且加速劑實質上留在表面上,因此特徵部內加速劑的局部表面濃度增加。此特徵部內之加速劑濃度的增加能幫助維持有利於由下往上填充之差異電鍍速率。
在電鍍的較後階段尤其是過沉積階段中,加速劑可非所欲地累積在某些區域 (例如已填充之特徵部上方),導致局部非所欲地過快電鍍。整平劑可用以抵消此效應。整平劑的表面濃度在對流最大的表面暴露區域(即非凹陷特徵部內)最大。發明人相信,以整平劑替代加速劑能增加局部平坦且減少原本電鍍速率大於其他位置之電鍍速率之表面區域處的局部電鍍速率。換言之,整平劑至少部分傾向於減少或移除加速劑化合物在表面之裸露區域處尤其是突出結構處的影響。若不使用整平劑,特徵部可能會過度填充並產生一突部。因此,在由下往上填充電鍍的後續階段中,整平劑有利於產生相對平坦的沉積物。
使用抑制劑、加速劑及整平劑的組合可使得欲填充之特徵部由下往上免於孔洞且同時得到相對平坦的沉積表面。添加劑供應商通常會將添加劑化合物的確切身分/組成當作營業秘密加以維護,是以和此些化合物之確切本質相關的資訊無法為公眾所知。
在將鈷電鍍至凹陷特徵部中的某些實施例中,特徵部可具有介於約10-150 nm之間、或介於約15-100 nm之間的寬度。 在某些情況中,特徵部可具有不大於約30 nm的寬度。在此些或其他情況中,特徵部可具有介於約30-100 nm之間、或介於約50-200 nm之間的深度。在各種實施例中,深寬比可介於約2-20之間。凹陷特徵部之深寬比的測量為特徵部的深度除以接近特徵部開口處的特徵部寬度。換言之,深寬比5代表高度與寬度的比值為5/1(深度:寬度),其有時亦以5:1(深度:寬度)表示之。
如上所述,在某些實施例中,可使用特定的抑制劑或加速劑。在某些情況中,可使用抑制劑或加速劑的特定組合。一例示性組合為作為抑制劑的聚乙烯亞胺(PEI) 及作為加速劑的3-巰基-1-丙烷磺酸鈉鹽(MPS)。另一例示性組合為作為抑制劑的PEI及作為加速劑的硫脲。
圖3A-3D之聚焦離子束電子掃描顯微(FIB/SEM)影像顯示利用各種抑制劑/加速劑添加劑包裝將鈷電鍍至凹陷特徵部中之鈷電鍍製程的結果。凹陷特徵部具有約25 nm之寬度且包含50 Å厚之鈷晶種層。圖3A中之基板係於包含PEI作為抑制劑與MPS 作為加速劑的電解液中受到電鍍。圖3B中之基板係於包含PEI作為抑制劑與硫脲作為加速劑的電解液中受到電鍍。圖3A與3B中所示之特徵部係以由下往上的方式電鍍,且在特徵部中未觀察到縫隙或孔洞。相對地,圖3C與3D中所示之特徵部係以順形方式電鍍,當順形電鍍自側壁朝向彼靠近時,產生如所示之垂直位向的縫隙。圖3C中的基板係於包含PEI作為抑制劑與四異秋蘭姆二硫化物(TIS)作為加速劑的電解液中受到電鍍,圖3D中的基板係於包含PEI作為抑制劑與二苯基二硫化物(DPS)作為加速劑的電解液中受到電鍍。圖3C與3D中所用之替代性二硫化物加速劑導致完全順形之填充行為。
圖4A與4B之FIB/SEM基板影像顯示利用兩種不同電解液溶液將鈷電鍍至基板所具有之凹陷特徵部中。凹陷特徵部具有約25 nm之寬度且包含50 Å厚之鈷晶種層。圖4A中之基板係於包含PEI作為抑制劑但無任何加速劑的電解液中受到電鍍。圖4B中之基板係於包含PEI作為抑制劑與MPS作為加速劑的電解液中受到電鍍。圖3A與3B中所示之特徵部係以由下往上的方式電鍍,且在特徵部中未觀察到縫隙或孔洞。圖4C與4D之STEM基板影像顯示利用圖4A與4B所述之兩種不同的電解液溶液將鈷電鍍至基板所具有之凹陷特徵部中。更具體而言,圖4C中之基板係於包含PEI作為抑制劑但無任何加速劑的電解液中受到電鍍(如圖4A中之基板),圖4D中之基板係於包含PEI作為抑制劑與MPS作為加速劑的電解液中受到電鍍(如圖4B中之基板)。圖4A-4D顯示以MPS作為加速劑能增加填充速率並促進由下往上的成長機制。
圖5A-5D之STEM基板影像顯示利用包含各種不同濃度之電鍍添加劑之電解液溶液將鈷電鍍至基板所具有之凹陷特徵部中。凹陷特徵部具有約25 nm之寬度且包含50 Å厚之鈷晶種層。此些影像顯示出極高品質之填充結果。圖5A中之基板係於包含約30 ppm PEI作為抑制劑與約150 ppm MPS 作為加速劑的電解液中受到電鍍。圖5A中的基板係於約1.6 mA/cm2 的電流密度下受到電鍍。圖5B中之基板係於具有圖5A所用之電解液組成的電解液中受到電鍍,但使用約6.3 mA/cm2 的較高電流密度。圖5C中之基板係於包含約30 ppm PEI作為抑制劑與約150 ppm MPS作為加速劑的電解液中受到電鍍。圖5C中的基板係於約1.5 mA/cm2 的電流密度下受到電鍍。相較於圖5A與5B中所用之電解液,圖5C中的電解液具有兩倍的加速劑。圖5D中之基板係於包含約30 ppm PEI作為抑制劑與約400 ppm 硫脲作為加速劑的電解液中受到電鍍。圖5D中的基板係於約1.6 mA/cm2 的電流密度下受到電鍍。圖5A-5D的結果皆顯示高品質的鈷電鍍。圖5A與5B的電鍍結果尤其是高品質。
用以電鍍之波形亦可影響由下往上電鍍之機制。電位與電流密度的最佳範圍係取決於基板及電解液中之鈷與添加劑的濃度。一般而言,電流效率隨著電流密度增加。然而,當使用電鍍添加劑(如抑制劑、加速劑等)時,可因添加劑而將電流密度淮持在一磁滯窗口內。基板的片電阻亦可影響最佳電位,在相對較高的電位下通常會電鍍得到較高阻抗的薄膜。在具有約3 g/L鈷離子的電解液中使用相對較高阻抗之基板(例如基板初始具有至少約200 Ω/cm2 的阻抗)的實例中,當相對於HgSO4 硫酸汞參考電極的電位係介於約1.7-2.1 V之間時,電流密度範圍可介於約3-4 mA/cm2 之間。此範圍只代表一個實例,其可沿著任一方向延伸,尤其是在使用不同基板或電解液組成時尤其如此。在某些情況中,例如在電鍍製程的部分或所有期間,可使用定電流密度。在某些實施例中可維持固定電流密度,如介於約1-7 mA/cm2 之間的一電流密度。下面將討論在電鍍期間可使用之可能波形/技術的進一步相關細節。粗糙與平滑薄膜沉積
在電鍍鈷時常會遇到的另一問題為,所得的鈷膜係非所欲地粗糙。例如,當利用使用了尋常添加劑包裝之鈷系初用補充溶液(VMS)將鈷電鍍至鈷晶種層上時,所得薄膜滿粗糙的。雖然不欲受限於任何理論或作用機制,但發明人相信,此粗糙度可歸因於有機添加劑非所欲地與鈷表面相互作用或潤濕鈷表面,這影響沉積薄膜的成核/分散。
其上進行沉積的表面可對所得薄膜的粗糙度有很大的影響。此表面可為晶種層、或其可為形成基板的其他材料。為了簡化解釋,下面將其上進行沉積的表面稱為晶種層。阻抗相對較高的晶種層通常會導致相對較粗糙的薄膜。此差異可能來自於下列事實:當電阻較高的晶種層存在時,電鍍通常在較負的基板極化下進行。在較負的電位/較高的極化位準下,有較高程度的氫產生,其產生泡泡並有利於較高粗糙度的薄膜生成。
鈷晶種層可具有介於約100-500 Ω/cm2 之間的片電阻,其所產生之薄膜的粗糙度通常大於銅晶種層所產生之薄膜的粗糙度,銅晶種層通常具有約20 Ω/cm2 的片電阻。 在使用銅晶種層的情況中,相對於HgSO4 硫酸汞參考電極之電鍍電壓可約為-0.5 V。相對地,在使用鈷晶種層的情況中,相對於HgSO4 硫酸汞參考電極之總電鍍電位可介於約-1.0至-3.5 V之間、例如介於約-1.5至-2.5 V之間、或介於約-1.8至-2.0 V之間。此些電壓尤其和在相對薄之晶種層上進行電鍍的實施例相關。在某些實施例中,晶種層的厚度係介於約10-100 Å之間、例如介於約15-30 Å之間、或介於約30-50 Å之間。在此些或其他情況中,晶種層厚度可約為100 Å或更少、例如約為50 Å或更少。較負的電壓與較佳的平坦度會導致銅晶種層上的較平滑薄膜以及鈷晶種層上的較粗糙薄膜。粗糙度的差異和被電鍍至晶種層上的金屬無關。雖然銅晶種層可能會導致較平滑的薄膜,但銅晶種層可能不適合某些實施例。例如,在無法沉積高品質銅晶種層的某些情況中可能可以沉積鈷晶種層。
圖6A-6D顯示各種鈷基板/薄膜之影像及典型RMS粗糙度值。尤其,圖6A顯示具有30 Å鈷毯層之平坦基板、圖6B顯示在30 Å鈷毯層上以鈷系VMS電鍍之10 nm 鈷膜、圖6C顯示在30 Å鈷毯層上以具有加速劑(MPS加速劑)之鈷系VMS電鍍之10 nm 鈷膜、圖6D顯示在30 Å鈷毯層上以具有加速劑(MPS)與抑制劑(PEI抑制劑)兩者之鈷系VMS電鍍之10 nm 鈷膜。RMS(均方根)值係關於沉積薄膜的粗糙度,較高的RMS值代表相對較粗糙的薄膜。圖3A基板之30 Å鈷毯層的RMS值僅約為0.5 nm,但圖3B中以VMS電鍍的鈷膜具有約為2.7的RMS值、以具有加速劑之VMS電鍍的鈷膜具有約為3.4的RMS值、以具有加速劑與抑制劑之VMS電鍍的鈷膜具有超過7.0的RMS值。換言之,使用典型的電鍍添加劑尤其是抑制劑會導致極粗糙之薄膜。添加抑制劑與加速劑至電鍍溶液會增加粗糙度約2.5倍。又,電鍍薄膜的片電阻亦上升,自圖6B中的約50 Ω/cm2 增加至圖6C中的約68 Ω/cm2 ­ 及圖6D中的約153 Ω/cm2 。片電阻隨著電鍍薄膜的粗糙度增加。
藉著在具有某些添加劑的電解液中電鍍薄膜可最小化鈷膜的粗糙度。整平劑、潤濕劑、及增亮劑皆可促進較平滑的薄膜。整平劑藉著鼓勵相對凹限之晶種層區域比周遭區域更易成核進而抵消相對粗糙之晶種層效應,有助於促進均勻/連續的成核(因此達成平滑薄膜)。又,當沉積持續時整平劑具有促進谷區域比峰區域更易沉積的作用,藉此整平表面並沉積較平滑的薄膜。電鍍鈷用之適合整平劑係載於與順形與由下往上填充相關的段落中。
可將潤濕劑(有時亦被稱為界面活性劑)添加至電解液以促進基板上要潤濕行為,藉此避免凹洞。在鈷沉積文義下適合的潤濕劑包含但不限於:烷基苯氧基聚乙氧基乙醇;聚氧乙烯與聚乙二醇聚合物的化合物;及聚氧乙烯與聚氧丙烯的嵌段與隨機共聚物。在某些實施例中,潤濕劑可以介於約1-10,000 ppm之間、例如介於約100-1000 ppm之間的濃度存在。在某些實施例中,整平劑的濃度係至少約為1 ppm、或至少約為100 ppm。在此些或其他實施例中,整平劑的濃度可約為5000 ppm 或更少、例如約為1000 ppm 或更少。
亦可將增亮劑添加至電解液以達到高電鍍速率、及高品質之具有最佳光澤的平滑/光亮薄膜。在鈷沉積的文義中適合的增亮劑包含但不限於:3-氫硫基-1-丙烷磺酸鹽(MPS,亦被稱為3-巰基-1-丙磺酸鈉鹽);2-巰基-乙烷磺酸鈉鹽;二硫二丙烷磺酸鹽;N,N-二甲基二硫代胺甲酸酯鈉鹽;(o-乙基二硫代碳酸)-S-(3-丙基硫)-酯鉀鹽;3-[(氨基-亞氨甲基)-硫]-1-丙磺酸鈉鹽;酚酞;內酯;內醯胺;環硫酸酯;環醯亞胺;環噁唑烷酮;不對稱之炔磺酸;(N-取代之吡啶)-烷基磺酸甜菜鹼;氨基聚芳基甲烷;吡啶衍生物;喹啉衍生物;及磺化的芳醛。在某些實施例中,增亮劑可以介於約1 ppb至1 g/L之間、或介於約10 ppb-100 ppm之間的濃度存在於電解液中。在某些實施例中,增亮劑係以至少約為1 ppb、例如至少約為10 ppb的濃度存在。在此些或其他情況中,增亮劑可具有約100 ppm或更少、例如約10 ppm或更少的濃度。
某些物種可具有文中所述之多種添加劑的功能。例如3-氫硫基-1-丙烷磺酸鹽(MPS)可同時具有加速劑與增亮劑的功能,硫脲可同時具有加速劑與整平劑的功能。在電解液中之特定化學物種能施行兩種不同添加劑功能的某些情況中,可使用一或更多種的額外添加劑物種以補充此些類添加劑中之一或多者的功能。例如,電解液可包含PEI(作為抑制劑)、硫脲(作為加速劑並作為整平劑)、及咪唑(亦作為整平劑)。
圖7A-7D例示在電解液中包含各種濃度之整平劑(在此情況中為聚乙二醇、PEG 1000,其係由密蘇里州聖路易斯之Sigma-Aldrich所販售)的效應。圖7A中所示之基板係於鈷系初用補充溶液(VMS)中受到鈷電鍍。圖7B中所示之基板係於圖7A之鈷系VMS中受到鈷電鍍,VMS中尚添加了10 ppm PEG 1000作為整平劑。圖7C中所示之基板係於具有100 ppm PEG 1000之鈷系VMS中受到鈷電鍍。圖7D中所示之基板係於具有300 ppm PEG 1000之鈷系VMS中受到鈷電鍍。以包含整平劑物種之電解液所電鍍的基板表現出實質上較低的RMS粗糙度值。尤其,當電解液中存在整平劑時,RMS值減少了約一半。粗糙度之減少亦對應至電鍍薄膜的電阻降低。晶種層之預處理
為了將材料電鍍至基板上,通常會在基板上提供一導電晶種層。晶種層通常是由物理汽相沉積(PVD)、原子層沉積(ALD)、或化學汽相沉積(CVD)所沉積。在許多情況中,此晶種層可能會被氧化而不利地影響電鍍製程與結果。例如,氧化後的晶種層可能會導致極粗糙的電鍍薄膜,在某些情況中可能會導致電鍍製程的失敗。此類氧化可源於介於晶種層與基板被暴露之氣氛中的氧或水氣之間的反應。氧化後的膜層通常相當地薄。然而,即便是氧化物薄層也可能會佔現行技術節點中所用的薄晶種層的可觀比例(或甚至整個厚度)。
基於下列理由,氧化後的晶種層會造成問題。由於電鍍浴添加劑在金屬氧化與純金屬上有互動差異,因此可能會造成非均勻電鍍。類似地,由於金屬氧化與純金屬之間的導電率差異,因此可能會造成非均勻電鍍。第二,孔洞可能會形成在金屬晶種層中,這使得部分的金屬晶種層無法支持電鍍。孔洞可因金屬氧化物被暴露至腐蝕性電鍍溶液期間金屬氧化物溶解而形成。孔洞亦可因不均勻的電鍍而形成在表面上。此外,在氧化後的表面上電鍍大塊金屬可能會導致黏著或脫層問題,這可進一步地導致後續製程步驟如化學機械研磨(CMP)後的孔洞。第三,金屬氧化物的形成可能會阻礙電沉積後的步驟如覆蓋,金屬氧化物可能會限制覆層的黏著。
在沉積金屬晶種層後但在晶種層上電鍍大塊金屬前,難以避免在金屬晶種層上形成金屬氧化物。在電鍍金屬之前所進行的各種步驟皆可能將金屬晶種層暴露至環境條件中的氧或水氣。又,晶種層可包含傾向於使晶種層更絕緣因此更難以受到電鍍的雜質(如碳)。為了達到最佳的電鍍結果,可在電鍍前處理鈷晶種層以降低表面氧化物並移除碳雜質。當在鈷晶種層上進行電鍍時,碳雜質問題尤其相關。在無任何碳雜質的情況下,銅晶種層可輕易沉積。因此,在使用鈷晶種層尤其是鈷晶種層係經由CVD或ALD沉積(晶種層中具有碳雜質的可能性尤其高)的情況中更需要此類預處理。
文中說明用以減少晶種層之表面氧化物及移除晶種層中之雜質的兩種預處理方法。一種預處理方法係關於一種基於氫自由基的製程,在此製程中晶種層上的金屬氧化物被氫自由基所還原,氫自由基係自電漿中之另一載氣(如He、Ar、N2 等)中的純H2 氣體(或另一含氫氣體)分解形成。電漿可自基板遠端產生。文中所述之第二種預處理方法係關於一種退火製程,退火製程可在將基板暴露至形成氣體時完成。文中的實施例可與此些預處理方法中的一者或兩者一起施行。電漿預處理
圖8顯示一例示性流程圖,此流程圖係用以例示減少金屬晶種層上之氧化物並將金屬電鍍至基板上的方法。製程800可始於步驟805,在步驟805中金屬晶種層如薄鈷層被沉積至基板上。這提供具有金屬晶種層在基板電鍍表面上的基板。在某些實施例中基板可具有凹陷部,凹陷部具有大於約3:1或約5:1的高寬(深寬)比。
步驟805可在一沉積設備如PVD設備、ALD設備、或CVD設備中進行。製程800可繼續進行步驟810,在步驟810中基板被傳送至具有實質較低壓力或真空環境的一反應室或設備。該反應室或設備可包含還原氣體物種。在某些實施例中,還原氣體物種可包含氫氣(H2 )、氨氣(NH3 )、一氧化碳(CO)、乙硼烷(B2 H6 )、亞硫酸化合物、碳及/或碳氫化合物、亞磷酸鹽及/或肼(N2 H4 )、或其任何組合。在步驟810中的傳送期間,基板可被暴露至可能會造成金屬晶種層之表面氧化的環境條件。是以,金屬晶種層的至少一部分可被轉化為受到氧化的金屬。
在步驟815處,當基板係處於較低壓力或真空環境中時,可將還原氣體物種暴露至遠端電漿。遠端電漿可產生還原氣體物種的自由基例如H* 、NH2 * 、或N2 H3 * 。還原氣體物種的自由基和金屬氧化物表面反應以產生純金屬表面。下列之式1顯示一還原氣體物種(氫氣)被分解為氫自由基的一實例。式2顯示氫自由基和金屬氧化物表面反應以將金屬氧化物轉化為金屬。如式3所顯示,對於未被分解的氫氣分子或重新結合而形成氫氣分子的氫自由基而言,氫氣分子仍然具有將金屬氧化物轉化為金屬的還原劑功能。 式1:    H2 à 2H* 式2:    (x)2H* + MOx à M + (x)H2 O 式3:    xH2 + MOx à M + xH2 O
如步驟820中所示,還原氣體物種的自由基或還原氣體物種本身可與金屬氧化物在能將金屬氧化物轉化為金屬的條件下反應,被轉化所得的金屬具有與金屬晶種層整合之薄膜的形式。
用以將金屬氧化物轉化為具有與金屬晶種層整合之薄膜形式之金屬的製程條件可取決於金屬的選擇及/或還原氣體物種的選擇而改變。在某些實施例中,還原氣體物種可包含下列的至少一者:H2 、NH3 、CO、碳及/或碳氫化合物、B2 H6 、亞硫酸化合物、亞磷酸鹽、及N2 H4 。此外,還原氣體物種可與混合氣體物種如相對惰性的氣體物種組合。相對惰性之氣體物種的實例可包含氮氣(N2 )、氦氣(He)、氖氣(Ne)、氪氣(Kr)、氙氣(Xe)、氡氣(Rn)、及氬氣(Ar)。還原氣體物種的流率可取決於欲處理之晶圓的尺寸而改變。例如,對於處理單一450 mm晶圓而言,還原氣體物種的流率可介於約10每分鐘標準立方公分(sccm)至約100,000 sccm之間。亦可使用其他晶圓尺寸。例如,對於處理單一300 mm晶圓而言,還原氣體物種的流率可介於約500 sccm至約30,000 sccm之間。
亦可控制還原室中的製程條件如溫度與壓力以將金屬氧化物轉化為具有與金屬晶種層整合之薄膜形式的金屬。在某些實施例中,還原室的溫度可相對地高以使還原氣體物種分解為自由基。例如,還原室溫度可介於約10-500°C之間、如介於約100-300°C之間、或介於約200-300°C之間。在一特定的實例中,將還原室維持在約250°C的溫度。可使用較高的溫度加速金屬氧化物還原反應並縮短暴露至還原氣體環境的期間。在某些實施例中,還原室可具有相對低的壓力以自還原氣體環境實質上移除任何氧,因為最小化環境中的氧存在可減少再度氧化的風險。例如,可將還原室泵抽至介於約0.1 Torr至約50 Torr之間、例如介於約0.1-10 Torr之間、或介於約0.1-5 Torr之間的真空環境或較低壓力。
雖然還原室可具有相對高的溫度以使還原氣體物種分解為自由基,但基板本身的溫度可被分別控制以避免或降低對金屬晶種層的損害。在閾值溫度以上金屬可能會開始結塊。結塊效應在相對薄的晶種層尤其是具有少於約100 Å之厚度的晶種層中更顯著。結塊包含任何連續或半連續之合併或珠化為珠、突出物、島狀物、或其他質塊以形成不連續的金屬晶種層。這可造成金屬晶種層自其所設置的表面剝離且可導致電鍍期間的孔洞增加。例如,在銅中開始發生結塊的溫度係大於約100°C,但在鈷中開始發生結塊的溫度係約為500°C。不同金屬適合不同的結塊溫度。
為了控制基板溫度並避免或降低結塊效應,在還原室中可使用冷卻系統如主動冷卻座臺及/或氣體流動冷卻設備,將基板的局部區域維持在低於結塊溫度的溫度。在某些實施例中,基板可受到座臺支撐並與座臺直接接觸。在某些實施例中,座臺與基板之間可存在間隙。熱傳輸可藉由傳導、對流、輻射、或其組合進行。
暴露至還原氣體環境的持續時間可依其他製程參數而改變。例如,藉著增加遠端電漿的功率、還原室的溫度等可縮短暴露至還原氣體環境的持續時間。在某些實施例中,暴露金屬氧化物表面以將其還原為與金屬晶種層整合之薄膜中之鈍金屬的持續時間可介於約1秒至約60分鐘之間。例如,對於預處理鈷晶種層而言,暴露期間可介於約10-300秒之間、例如介於約60-120秒之間。
在圖8中之步驟825處,基板可在環境條件下或對於電鍍系統或其他預處理設備而言為惰性之惰性氣體的毯覆下受到傳送。雖然藉著將金屬氧化物表面暴露至還原氣體環境金屬晶種層中的金屬氧化物已被實質上還原,但進行步驟825可能會存在著因暴露至外在環境造成再氧化的額外風險。在某些實施例中,利用一些技術如縮短傳送期間或控制傳送期間的氣氛可最小化暴露至環境條件。此外或或者,在受到控制的環境中進行傳送,受到控制的環境比環境條件更不容易造成氧化。為了控制傳送期間的氣氛,例如,可使環境實質上缺氧。環境可為實質上惰性的及/或為低壓或真空。在某些實施例中,可在惰性氣體的毯覆下傳送基板。如下所討論,在步驟825中的傳送可自遠端電漿設備至電鍍設備系統進行,其中遠端電漿設備係整合或以其他方式連接至電鍍系統。在步驟830處,可將金屬電鍍至基板上。
如下列將更進一步說明,遠端電漿預處理可導致片電阻下降。在某些實施例中,片電阻降低了至少約15%、例如降低了至少約25%、降低了至少約50%、或降低了至少約70%。在此些或其他情況中,在遠端電漿預處理製程後晶種的片電阻可介於約50-1000 Ω/cm2 之間、或介於約100-500 Ω/cm2 之間。如下所述,電阻率的降低至少部分取決於用以沉積晶種層的方法。
在2013年9月6日申請之名為「METHOD AND APPARATUS FOR REMOTE PLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER」的美國專利申請案US 14/020,339更進一步地討論並說明此預處理步驟,將其所有內容包含於此作為參考。退火預處理
上述之第二種預處理製程係關於退火製程。其上具有晶種層之基板可在較高溫度下被暴露至還原氣體(如H2 、NH3 、CO、碳及/或碳氫化合物、B2 H6 、亞硫酸鹽化合物、亞磷酸鹽、N2 H4 、及其組合)與惰性載氣(如氮氣(N2 )、氦氣(He)、氖氣(Ne)、氪氣(Kr)、氙氣(Xe)、氡氣(Rn)、氬氣(Ar)、及其組合)流。在各種情況中,基板被暴露至形成氣體,形成氣體為H2 /N2 的混合物。在某些實例中,進行退火的反應室可被維持在介於約75-400°C之間、或介於約75-350°C之間、或介於約300-400°C之間、或介於約325-375°C之間的溫度。在某些情況中,退火溫度可至少約為75°C、至少約為150°C、或至少約為300°C。在此些或其他情況中,退火溫度可約為400°C或更少、約為350°C或更少、或約為300°C或更少。在一特定的實施例中,在退火期間製程室被維持在約350°C的溫度。反應室中的壓力可被維持在介於約0.5-3 Torr之間、例如介於約1-1.5 Torr之間。流入反應室之還原氣體的流率係介於約10-30,000 sccm之間、例如介於約500-30,000 sccm之間。此類流率係與處理300 mm直徑的基板相關,且可針對其他尺寸之基板調整至適合的流率。流率可基於基板面積線性縮放。退火製程的持續期間可介於約30-300秒之間、例如介於約60-120秒之間。
利用上述之電漿處理,晶種層的結塊可能在某些條件下發生。在相對較高的基板溫度下發生結塊的風險較高。在某些情況中,在退火期間可冷卻基板本身以避免上述的結塊效應。在許多的其他情況中,在退火期間將基板維持在充分低的溫度(不對基板進行任何主動冷卻),則結塊不會是問題。
如文中所述,退火預處理可導致片電阻降低。在某些實施例中,片電阻降低了至少約15%、例如降低了至少約25%、降低了至少約50%、或降低了至少約70%。在此些或其他情況中,在退火處理後晶種的片電阻可介於約15-1000 Ω/cm2 之間、或介於約100-500 Ω/cm2 之間。如下所述, 電阻率的降低至少部分取決於用以沉積晶種層的方法。
退火可在專用的退火室中進行,或退火可在用以進行其他功能的製程室中進行。在一實例中,退火可在用以沉積晶種層的相同反應室中進行。無論在何處進行退火,可在能避免晶種層再氧化的條件下將基板傳送至電鍍設備。此類條件/技術已於上文說明。美國專利申請案US 14/069,220中更進一步地說明用以施行退火製程的一可能設備,將其全部內容包含於此作為參考。
圖9顯示沉積晶種層、進行退火製程以預處理晶種層、並將材料電鍍至基板上之一方法的流程圖。方法900始於操作905,在操作905處沉積晶種層。操作905係對應至圖8的操作805,為了精簡,不再重覆其說明。在操作910處,可選擇性地將基板傳送至用以進行退火製程的退火室。或者,在沉積晶種層的相同反應室中進行退火製程。當退火在非晶種沉積室中進行時,通常在環境條件下進行基板傳送至退火室,因為退火將會將傳送期間所形成的任何氧化物還原。接下來,在操作915處,將基板暴露至還原氣體(如形成氣體)並同時使基板受到上述的較高溫度。如操作920中所述,此暴露至還原氣體會導致金屬晶種層上的氧化物減少並自晶種層移除碳雜質。在操作925處,自進行退火的反應室將基板傳送至電鍍系統。在某些情況中此傳送可在環境條件下進行,但在其他情況中可如電漿預處理的段落所述使傳送在受到控制的境中進行。受到控制的環境可最小化晶種層再氧化的風險。接下來在操作930處電鍍基板。
在美國專利US  8,703,615中更進一步地討論銅晶種層文義下的退火預處理製程,將其所有內容包含於此作為參考。銅晶種層之文義下所揭露的各種細節亦適用於處理鈷晶種層。預處理製程的效果
文中所述之預處理製程會導致:(a)晶種層上的氧化物材料減少;及(b)自晶種層移除碳雜質。此些製程的一效應為晶種層的片電阻下降。
圖10之實驗結果顯示在進行各種預處理製程後鈷晶種層的片電阻下降。顯示兩種不同的電漿處理及一種退火。電漿預處理1的條件如下:在遠端電漿製程室中於約250°C的溫度及約1.5 Torr的壓力下持續進行約120秒。電漿預處理2的條件係與電漿預處理1的條件相同,除了壓力下降至0.5 Torr。在兩種情況中,預處理皆涉及將基板暴露至自H2 所產生之遠端產生氫電漿。退火預處理製程涉及將基板暴露至形成氣體同時將反應室維持在約350°C的溫度。
片電阻下降的程度大幅取決於用以沉積晶種層的製程類型,ALD沉積之晶種層經歷最大的下降、PVD沉積之晶種層經歷最小的下降(且在某些情況中,PVD沉積之薄膜的片電阻實際上增加)、CVD沉積之晶種層所經歷的下降係介於ALD沉積之晶種層與PVD沉積之晶種層之間。PVD沉積之晶種層具有約50Å的厚度、CVD沉積的晶種層具有約30Å的厚度、ALD沉積之晶種層具有約35Å的厚度。
在CVD沉積及ALD沉積的晶種層中片電阻大幅下降。只有當使用退火預處理時PVD沉積之晶種層經歷阻值下降。退火預處理對所有晶種層的片電阻皆造成最高的降幅。
顯示所得之導電率增加在數小時的期間呈現穩定狀態。又,即便在數天後晶種層之片電阻也不會回到其原始值。在預處理製程與電鍍製程之間的時間藉著將基板維持在無氧及/或真空的環境中,能最小化再氧化的風險。在某些情況中,整個預處理製程及電鍍製程(包含在兩製程之間的任何基板傳送)係於無氧及/或真空環境中進行。促進晶圓各處的均勻度
即便在晶種層經歷上述之預處理後,此類鈷晶種層的相對高電阻率可導致基板表面上的實質厚度非均勻性。此非均勻性通常被稱為終端效應。在電鍍時,電壓/電流被施加至基板的邊緣區域。由於薄晶種層(尤其是鈷晶種層)的高度阻抗本質,在晶圓表面上存在實質上的電位下降(因此局部電流密度之差異),即基板邊緣處之電位的強度遠高於基板中央處之電位的強度。此電位下降的一結果為,電鍍在基板邊緣附近進行得較快速且較多,但電鍍在基板中央處進行得較慢且較少(或有時甚至完全不進行)。所得之薄膜在中央薄但在邊緣厚。
為了對抗此厚度變異有各種技術可行。例如,可使用許多硬體改良以促進電鍍朝向基板中央具有較高電鍍速率並降低朝向基板邊緣之電鍍速率。這些技術的某些者涉及使用雙陰極、四陰極及/或高電阻率的虛擬陽極(HRVA,有時亦被稱為通道離子阻抗板或CIRP)以及高電壓電源的組合。然而,此些硬體改良並非總是足以克服厚度變異。
用以對抗此中央至邊緣厚度變異的另一技術為,使用具有相對低之導電率的電解液。低導電率/高電阻率的電解液可在陽極與陰極之間產生壓降。當溶液中的此壓降充分地大時,晶圓中的壓降變得可忽略因此在晶圓各處可達到高度均勻度。換言之,當電解液具有充分低的導電率/高電阻率時,電解液中的壓降能有效地吞沒基板中的壓降而得到均勻的電鍍。
藉著使用相對較低濃度的離子浴成分,可降低電解液的導電率,其中該離子浴成分包含但不限於鈷離子、支援電解液、及存在於電解液中的任何酸/鹼。
鈷電鍍製程可在瓦式(Watts-type)電鍍浴中進行。此類電鍍浴常被用於電鍍鎳,但亦可被用於電鍍鈷。瓦式電鍍浴通常包含硼酸(H3 BO3 )、金屬硫酸鹽、及氯離子,氯離子通常係來自金屬氯化物但有時會來自氫氯酸。當使用瓦式電鍍浴電鍍鎳時,金屬氯化物為氯化鎳而金屬硫酸鹽為硫酸鎳。類似地,當使用瓦式電鍍浴電鍍鈷時,金屬氯化物為氯化鈷而金屬硫酸鹽為硫酸鈷。在某些情況中,可使用氫氯酸而非金屬氯化物。硼酸有助於避免鈷的氫氧化物沉積,若非硼酸則在電鍍期間接近電極表面的局部pH值上升時可能會形成鈷的氫氧化物。下面更利用圖13A-13D進一步說明硼酸的效應。在某些實施例中,硼酸的濃度可介於約1-45 g/L之間、如介於約2-35 g/L之間、或介於約10-30 g/L之間。在某些實施例中,電解液的pH值可介於約3-6。在某些情況中,氯離子的濃度可介於約1-1000 ppm之間、或介於約1-100 ppm之間。如下面將更進一步討論,可選擇硫酸鈷的濃度以達到特定的鈷離子濃度。
在下列文獻中更進一步地討論了瓦式電鍍浴,因此將其內容包含於此作為參考:Di Bari, G. A. (2010) Electrodeposition of Nickel, in Modern Electroplating, Fifth Edition (eds M. Schlesinger and M. Paunovic), John Wiley & Sons, Inc., Hoboken, NJ, USA。
典型瓦式電鍍浴的導電率係大於約20 mS/cm。可藉由減少電解液中的鈷離子濃度來降低電鍍浴的導電率。在某些情況中,導電率可低至約0.5 mS/cm、或可低至約1 mS/cm。
圖11顯示一表,此表列出在各種鈷離子濃度下的電解液導電率。所示的大部分實例為具有低濃度鈷的電解液,但為了比較,亦顯示典型的瓦式電解液(具有25 g/L Co(II))。
在某些實施例中,電解液具有介於約0.3-5 g/L之間、或介於約0.005-0.1M之間的鈷(II)離子濃度。在此些或其他實施例中,電解液的導電率可介於約0.5-10 mS/cm之間、或介於約1-8 mS/cm之間、或介於約2-6 mS/cm之間。在某些實施例中,電解液的導電率可至少約為1 mS/cm、如至少約為2 mS/cm、或至少約為3 mS/cm。在此些與其他實施例中,電解液的導電率可約為10 mS/cm或更少、例如約為8 mS/cm或更少、或約為6 mS/cm或更少。
圖12例示在電鍍期間300 mm直徑之基板之不同徑向位置處的電流密度。受到電鍍的基板包含具有約50 Ω/cm2 之初始電阻率的鈷晶種層。顯示三種不同的電解液且每一種電解液具有不同導電率。具有最高導電率(60 mS/cm)的電解液在基板各處表現出遠遠較大的電流密度變異,其中高電流密度係靠近基板邊緣(晶圓位置 = 150 mm)而低電流密度係靠近基板中央(晶圓位置 = 0 mm)。相對地,具有最低導電率(0.6 mS/cm)的電解液在基板各處表現出最均勻的電流密度。具有6.0 mS/cm之導電率的電解液表現出相對良好的電流密度均勻度。
如上所述,電解液通常包含硼酸。其他電鍍浴成分亦有助於減輕終端效應。例如,電解液亦可包含氫氯酸與潤濕劑。此類潤濕劑係於上面關於粗糙與平滑薄膜沉積的段落中說明。在一特定的實例中,潤濕劑可為聚乙二醇(PEG)。當然,電解液可包含文中所述之複數其他添加劑。
圖13A-13D共同顯示,當電鍍鈷至具有經由CVD所沉積之30 nm厚的鈷晶種層之基板上時包含硼酸與聚乙二醇的效應。總言之,包含硼酸與聚乙二醇得到明顯較平滑的薄膜。受試電解液具有約1 g/L濃度的Co(II)離子。電鍍製程包含在約250 °C與約1.5 T下進行的遠端電漿預處理步驟。基板係於下列條件下電鍍:約3 mA/cm2 之電流密度、約30 mC/cm2 之電荷密度、約100 RPM下的基板旋轉。電解液具有約5之pH值。
圖13A-13C顯示利用不同電解液沉積之鈷膜的AFM影像。圖13D顯示在各種電解液中被電鍍之薄膜的片電阻與粗糙度。片電阻係以點線顯示,粗糙度係以長條顯示。圖13A之電解液(被稱為電解液A)不包含任何硼酸或聚乙二醇。圖13B之電解液(被稱為電解液B)包含3 g/L之硼酸但不包含聚乙二醇。圖13C之電解液(被稱為電解液C)包含3 g/L之硼酸及0.2 g/L之聚乙二醇。
包含硼酸使粗糙度自電解液A中之約12.1 nm降低至電解液B中之約4.1 。額外包含聚乙二醇使粗糙度更進一步降低至電解液C中之約2.6 nm。在電解液A中的片電阻最高,在電解液C中的片電阻最低。
電解液的導電率不會受到硼酸濃度影響;0g/L 硼酸處的導電率係實質上與30g/L 硼酸處的導電率相同。硼酸不會在酸性或近中性pH的水中解離,因此其不會對溶液的導電率有貢獻。硼酸會與水分子交互作用以形成弱鹼四羥基硼酸,四羥基硼酸在水性溶液中產生稍微的酸性。
具有相對低濃度之鈷(如0.3-5 g/L之Co(II)離子)之電解液能達到高電流效率 (如大於約90%)。有許多因素可影響電流效率,其包含但不限於電解液中各種成分/添加劑的濃度及在電鍍期間所施加之電流密度。
圖14A顯示電流效率為硼酸濃度的函數。基板為在具有約1 g/L Co(II)離子或約3 g/L Co(II)離子之電解液中於約1 mA/cm2 下受到電鍍的旋轉碟狀鉑電極。亦顯示為硼酸濃度之函數的pH值。一般而言,在相對較低之硼酸濃度下的電流效率較高。
圖14B顯示電流效率為電流密度之函數。基板為在具有約1 g/L Co(II)離子且無硼酸的電解液中受到電鍍之旋轉碟狀鉑電極。在較大電流密度下的電流效率大致上較高。可達到極高的電流效率。電鍍波形
本案所揭露之實施例不限於電鍍製程使用任何特定波形的情況。然而,某些波形特徵有助於促進高品質電鍍結果。例如,可使用冷入,然後在基板浸沒後緊接著一短感應期間。感應期間可具有介於約0.5-5秒之間、例如介於約0.5-1.5秒之間的持續期間。在冷入及此感應期間,未施加電壓或電流至基板,這可用以幫助溶解存在於基板表面上的任何鈷氧化物。電解液的酸性本質有助於氧化物溶解。在感應期間,當凹陷特徵部填有鈷時,電鍍可在定電流密度的情況下進行。可依文中所述施加電流密度,在某些情況中於由下往上填充期間的電流密度係介於約0.5-7 mA/cm2 之間。這可對應至相對於HgSO4 硫酸汞參考電極之介於約-1.5至-2.5 V之間、例如介於約-1.8至-2.0 V之間的總電鍍電位。在特徵部已被填滿或實質填滿後,在沉積過量的鈷時可增加電流密度。在某些情況中,電流密度可增加至介於約3-15 mA/cm2 之間、例如介於約3-10 mA/cm2 之間、或介於約5-10 mA/cm2 之間的值。設備
文中所述的方法可以任何適合的設備施行之。適合的設備包含用以完成製程操作的硬體以及具有用以根據本發明實施例控制製程操作之複數指令的系統控制器。例如,在某些實施例中,硬體可包含在製程設備中的一或多個製程站。
用以施行所示之方法的一例示性設備係顯示於圖15中。該設備包含一或多個電鍍池,複數基板(如複數晶圓)可在電鍍池中受到處理。圖15中僅顯示單一電鍍池以維持畫面清晰。為了最佳化由下往上之電鍍,可如文中所述將添加劑(如加速劑及抑制劑)添加至電解液;然而,具有添加劑之電解液可能會以非所欲之方式和陽極反應。因此,有時電鍍池之陽極與陰極區域會藉由薄膜分離,俾使具有不同組成的電鍍溶液可在各自的區域中受到使用。陰極區域中的電鍍溶液被稱為陰極電解液,陽極區域中的電鍍溶液被稱為陽極電解液。可使用多種工程設計將陽極電解液與陰極電解液導入電鍍設備中。
參考圖15,其顯示根據一實施例之電鍍設備801的橫剖面圖。電鍍浴803包含電鍍溶液(具有文中所述的組成),電鍍溶液在圖中被顯示為位準855。此容器的陰極部係適合將基板容納於陰極中。晶圓807被浸沒於電鍍溶液中且受到安裝於可旋轉轉子811上的「殼式」支撐固定件809所支撐,可旋轉轉子811使得殼式固定件809能與晶圓807一起旋轉。在發証予Patton等人之美國專利US 6,156,167及發証予Reid等人之美國專利US 6,800,187中詳細揭露了具有適合與本發明一起使用之態樣之殼式電鍍設備的一般說明,將其所有內容包含於此作為參考。
陽極813係設置於電鍍浴803內的晶圓下方並藉由薄膜 865如離子選擇薄膜和晶圓區域分離。例如,可使用Nafion™陽離子交換薄膜(CEM)。陽極薄膜下方的區域通常被稱為「陽極室」。離子選擇陽極薄膜865允許電鍍池之陽極區域與陰極區域之間的離子交流,但避免在陽極處所產生的粒子進入晶圓附近污染晶圓。陽極薄膜亦可用以在電鍍製程期間分散電流,藉此改善電鍍均勻度。發証予Reid 等人的美國專利US 6,126,798與US 6,569,299中提供了適合之陽極薄膜的詳細說明,將其所有內容包含於此作為參考。離子交換薄膜如陽離子交換薄膜尤其適合此些應用。此些薄膜係通常由離子聚合物材料如包含磺酸基團之全氟化共聚物(如Nafion™)、磺化的聚醯亞胺、及此領域中人已知適合陽離子交換之其他材料所製成。適合之Nafion™薄膜的選擇性實例包含來自Dupont de Nemours Co 的N324與N424薄膜。
在電鍍期間,來自電鍍溶液的離子沉積在基板上。金屬離子必須擴散通過擴散邊界層而進入凹陷特徵部(若其存在)中。協助擴散的一典型方法為藉由泵浦817提供電鍍溶液的對流。此外,可使用振動攪動或音波攪動構件以及晶圓旋轉。例如,可將振動傳感器808附接至晶圓夾頭809。
泵浦817持續地將電鍍溶液提供予電鍍浴803。電鍍溶液大致上向上流經陽極薄膜865與擴散板819而流至晶圓807中央,接著徑向地向外流過晶圓807。亦可自電鍍浴803的側邊將電鍍溶液提供至電鍍浴的陽極區域中。接著電鍍溶液自電鍍浴803溢流至溢流儲槽821。接著電鍍溶液受到過濾(未顯示)並返回泵浦817,完成電鍍溶液的再循環。在電鍍池的某些組態中,不同的電解液循環經過電鍍池包含陽極的部分,但利用具有適度滲透性的薄膜或離子選擇薄膜可避免此不同的電解液與主電鍍溶液混合。
參考電極831係位於電鍍浴803外的分離室833上,分離室833受到來自主電鍍浴803的溢流補充。或者在某些實施例中,參考電極係儘可能地靠近基板表面,且參考電極室係藉由毛細管或其他方法連接至晶圓基板的一側或晶圓基板的正下方。在某些實施例中,設備更包含連接至晶圓外緣的接觸感測接腳,接觸感測接腳係用以感測在晶圓外緣處的金屬晶種層的電位但不會將任何電流帶至晶圓。
參考電極831可用以促進在受控制之電位下的電鍍。參考電極831可為各種常用類型中的一者如汞/硫酸汞、氯化銀、飽和甘汞、或銅金屬。在某些實施例中,除了參考電極外可使用與晶圓807直接接觸的接觸感測接腳以更精確地量測電位(未顯示)。
DC電源835可用以控制流至晶圓807之電流。電源835具有負輸出接腳839,負輸出接腳839係經由一或多個滑環、刷與接觸件(未顯示)而電連接至晶圓807。電源835的正輸出接腳841係電連接至位於電鍍浴803中的陽極813。電源835、參考電極831、與接觸感應接腳(未顯示)可連接至系統控制器847,系統控制器847除了其他功能外尤其能對電鍍池的元件提供經調變的電流與電位。例如,控制器可使電鍍發生在受到控制之電位及受到控制之電流範圍中。控制器可包含複數程式指令,此些程式指令明確定義需被施加至電鍍池之各種元件的電流與電壓位準以及需改變此些位準的時序。當施加順向電流時,電源835使晶圓807偏壓以相對於陽極813具有負電位。這使得電流自陽極813流向晶圓807且晶圓表面(陰極)上發生電化學還原反應,這造成導電層(如鈷)沉積至晶圓表面上。可將惰性陽極814安裝於電鍍浴803內之晶圓807的下方並藉由薄膜865而與晶圓區域分隔。
設備亦可包含用以將電鍍溶液之溫度維持在特定位準的加熱器845。電鍍溶液可用以將熱傳輸至電鍍浴中的其他元件。例如,當晶圓807係位於電鍍浴中時,可開啟加熱器845與泵浦817以經由電鍍設備801循環電鍍溶液直到整個設備的溫度變得實質上均勻。在一實施例中,加熱器係連接至系統控制器847。系統控制器847可連接至熱耦以接收電鍍設備內之電鍍溶液的溫度反饋並決定是加需要額外加熱。
控制器通常包含一或多個記憶體裝置及一或多個處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制板等。在某些實施例中,控制器控制電鍍設備以及用以在電鍍開始前濕潤基板表面之預濕室的所有活動。控制器亦可控制用以沉積晶種層之設備的所有活動以及涉及在相關設備間傳送基板的所有活動。
例如,控制器可包含用於下列者的複數指令:根據文中所述或申請專利範圍中所述之任何方式沉積晶種層、將晶種層傳送至預處理室、進行預處理、及電鍍。可將包含用以根據本發明控制製程操作之複數指令的非瞬變機器可讀媒體耦合至系統控制器。
通常存在著和控制器847相關的使用者界面。使用者界面可包含顯示螢幕、設備及/或製程條件的圖形化軟體顯示、及使用者輸入裝置如點擊裝置、鍵盤、觸控螢幕、麥克風等。
可以任何傳統的電腦可讀程式語言撰寫控制電鍍製程用的電腦程式碼,電腦可讀程式語言例如是組合語言、C、C++、Pascal、Fortran或其他語言。可藉由處理器執行編譯過的物件碼或腳本以進行程式中所認定的任務。
圖16顯示可用以實施文中實施例之多站設備的一實例。電沉積設備1200可包含三個分離的電鍍模組1202、1204與1206。又,三個分離的模組1212、1214與1216可針對各種製程操作加以配置。例如,在某些實施例中,模組1212、1214與1216中的一或多者可為旋轉沖洗乾燥(SRD)模組。在此些或其他實施例中,模組1212、1214與1216中的一或多者可為複數電填充後模組(PEM),每一電填充後模組係用以進行一功能如基板受到電鍍模組1202、1204與1206中之一者處理後之邊緣斜角移除、背側蝕刻、及酸清洗。又,模組1212、1214與1216中的一或多者可用來作為預處理室。預處理室 可為文中所述之遠端電漿室或退火室。或者,預處理室可被包含於設備的另一部分處或被包含於不同的設備中。
電沉積設備1200包含中央電沉積室1224。中央電沉積室1224為用來作為電鍍模組1202、1204與1206中之電鍍溶液的化學溶液的容納室。電沉積設備1200亦包含可儲存並輸送電鍍溶液用之添加劑的劑量系統1226。化學品稀釋模組1222可儲存並混合用來作為蝕刻劑的化學品。過濾與泵抽單元1228可過濾中央電沉積室1224用的電鍍溶液並將其泵抽至電鍍模組。
系統控制器1230提供用以操作電沉積設備1200的電子與界面控制。在上述之系統控制器的段落中介紹系統控制器1230且文中更進一步地說明系統控制器1230。系統控制器1230(其可包含一或多個實體或邏輯控制器)控制電鍍設備1200之部分或全部特性。系統控制器1230通常包含一或多個記憶體裝置及一或多個處理器。處理器可包含中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板、及其他類似的元件。用以實施如文中所述之適當控制操作的複數指令可在處理器上執行。此些指令可被儲存在與系統控制器1230相關的記憶體裝置上或其可藉由網路提供。在某些實施例中,系統控制器1230執行系統控制軟體。
電沉積設備1200中的系統控制軟體可包含用於控制下列者的複數指令:時序、電解液成分(包含一或多種電解液成分的濃度)的混合物、電解液的氣體濃度、入口壓力、電鍍池壓力、電鍍池溫度、基板溫度、施加至基板與任何其他電極的電流與電位、基板位置、基板旋轉、及電沉積設備1200所施行之特定製程的其他參數。
在某些實施例中,可存在與系統控制器1230相關的一使用者界面。使用者界面可包含顯示螢幕、設備及/或製程條件的圖形化軟體顯示、及使用者輸入裝置如點擊裝置、鍵盤、觸控螢幕、麥克風等。
在某些實施例中,藉由系統控制器1230調整的參數可關於製程條件。非限制性的實例包含在各個階段處的溶液條件(溫度、組成、及流率)、基板位置(旋轉率、線性(垂直)速度、自水平偏離之角度)等。此些參數可以配方形成提供予使用者,配方可利用使用者界面加以輸入。
藉由系統控制器1230的類比及/或數位輸入連接件可自各種製程設備感測器提供用以監控製程的訊號。可在製程設備的類比及數位輸出連接件上輸出用以控制製程的訊號。可被監測之製程設備感測器的非限制性實例包含質量流量控制器、壓力感測器(如壓力計)、熱偶、光學位置感測器等。受到適當程式化的反饋與控制演算法可與來自此些感測器的數據一起使用以維持製程條件。
在多站設備的一實施例中,複數指令可包含將基板插入晶圓支撐件中、傾斜基板、在浸沒期間對基板施加偏壓、將鈷電鍍至基板上。複數指令更可包含預處理基板、在電鍍基板後退火基板、及在相關的設備之間適當地傳送基板。
交付設備1240自基板晶圓盒如晶圓盒1242或晶圓盒1244選擇一基板。晶圓盒1242或1244可為前端開口標準艙(FOUP)。FOUP為一外殼,其被設計用以在受到控制環境中安全穩固地支撐複數基板並允許複數基板被設有適當裝載艙口及機器人搬運系統的設備移除以接受製程或量測。交付設備940可利用真空附接或某些其他附接機制抓取基板。
交付設備1240可與晶圓搬運站1232、晶圓盒1242或1244、傳送站1250、或對準器1248交界。交付設備1246可自傳送站1250取得對基板之接取。傳送站1250可為一槽口或一位置,交付設備1240與1246可毋需行經對準器1248而自該槽口或該位置傳送基板或將基板送達至該槽口或該位置。然而在某些實施例中,為了確保基板在交付設備1246上適當地對準以被精準地傳送至電鍍模組,交付設備1246可利用對準器1248對準基板。交付設備1246亦可將基板傳送至電鍍模組1202、1204、或1206中的一者、或用於各種製程操作之分離的模組1212、1214、及1216中的一者。
為了在製程環境中實施使用,設備可用以使得基板經歷有程序的有效率循環:電鍍、沖洗、及PEM製程操作。為達此目的,可將模組1212配置為旋轉沖洗乾裝置及邊緣斜角移除室。具有此類模組1212時,只需在電鍍模組1204與模組1212之間傳送基板以進行銅電鍍及EBR操作。設備1200的一或多個內部部分可處於次大氣壓條件。例如在某些實施例中,包圍電鍍池1202、1204與1206及PEM 1212、1214與1216的整個區域可處於真空狀態。在其他實施例中,只有包圍電鍍池的區域處於真空狀態。在其他的實施例中,獨立的電鍍池可處於真空狀態。雖然電解液的流動迴路未顯示於圖16或17中,但應瞭解,文中所述之流動迴路可以多站設備的部分(或與多站設備一起)實施。
圖17顯示可用以實施文中實施例之多站設備的額外實例。在此實施例中,電沉積設備1300具有一系列之鍍池1307,每一鍍池1307包含一電鍍浴,電鍍浴係以一對或複數對的方式配置。除了電鍍本身,電沉積設備1300可進行各種電鍍相關的其他製程與子步驟例如旋轉沖洗、旋轉乾燥、金屬與矽的濕式蝕刻、無電鍍沉積、預濕與預化學處理、還原、退火、光阻剝除、及表面預活化等。由上往下概略顯示電沉積設備1300且在圖示中只顯示單層,但此領域中具有通常技術者當瞭解,此類設備如加州費里蒙科林研發公司所販售之SabreTM 3D設備可具有彼此上下「堆疊」之兩或多層且每一層可能具有相同類型或不同類型之複數製程站。
再次參考圖17,經由前端裝載FOUP 1301將欲受到電鍍的複數基板1306大致上饋送至電沉積設備1300,在此實例中,藉由前端機器人1302將欲受到電鍍的複數基板1306自FOUP 1301搬運至電沉積設備1300的主基板製程區,前端機器人1302可以多維度自複數接取站中的一者收回受到轉子1303驅動的基板1306並將基板1306移動至複數接取站中的另一者—在此實例中複數接取站顯示兩個前端接收站1304及兩個前端接收站1308。前端接收站1304與1308可包含例如預處理站、旋轉沖洗乾燥(SRD)站。此些接取站1304與1308亦可為文中所述的移除站。前端機器人1302之側至側的橫向移動係利用機器人軌道1302a來完成。每一基板1306可被一杯狀/錐狀組件所支撐,杯狀/錐狀組件係由連接至馬達(未顯示)的一轉子1303所驅動,馬達係附接至安裝架1309。在此實例中亦顯示四「雙」電鍍池1307,因此總共八個電鍍池1307。電鍍池1307可用以針對含銅結構電鍍銅及針對焊料結構(其他可能材料中的一種)電鍍焊料材料。系統控制器(未顯示)可耦合至電沉積設備1300以控制電沉積設備1300的部分或全部特性。可程式化或以其他方式配置系統控制器以執行根據前文中所述之製程的複數指令。系統控制器
在某些實施例中,控制器為系統的一部分,其為上述實例的一部分。此類系統可包含半導體處理設備,半導體處理設備包含一處理工具或複數工具、一處理室或複數處理室、一處理平臺或複數平臺、及/或複數的特定處理元件(晶圓座臺、氣體流動系統等)。此些系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板處理之前、期間及之後控制系統的操作。此些電子裝置係稱為「控制器」,其可控制一系統或複數系統的各種元件或子部件。取決於處理需求及/或系統類型,控制器可被程式化以控制文中所揭露的任何處理包含輸送處理氣體、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳輸進入或離開設備與連接至特定系統或與特定系統具有界面的其他傳輸設備及/或裝載互鎖機構。
概括地說,控制器可被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、致能清理操作、致能終點量測等。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定義為特殊應用積體電路(ASIC)的晶片及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓、或對一系統進行特定處理所用的操作參數。在某些實施例中,操作參數為處理工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個處理步驟所定義之配方的一部分。
在某些實施例中控制器為整合至系統、耦合至系統、藉由網路連接至系統、或其組合的電腦的一部分或控制器耦合至電腦。例如,控制器係位於雲端中或工廠主機電腦系統的全部或部分中,這允許使用者遠端接取晶圓處理。電腦可致能遠端接取系統以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數製造操作檢視驅勢或效能度量、改變現有處理的參數、設定處理步驟以符合現有處理、或開始一新的處理。在某些實例中,遠端電腦(或伺服器)可經由電腦網路對系統提供處理配方,電腦網路包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。在某些實例中,控制器接收數據形式的指令,其明確定義了在一或多個操作期間欲進行之每一處理步驟的參數。應瞭解,參數可特別針對欲施行之處理的類型及控制器用以交界或控制之設備的類型。因此如上所述,可分散控制器如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之處理與控制工作的離散控制器。為了此類目的的分散控制器的實例為處理室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平臺位準或遠端電腦的一部分)的積體電路通訊而共同控制處理室中的處理。
不受限地,例示性的系統可包含電漿蝕刻室或模組、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清理室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關或用於製造半導體晶圓的任何其他半導體處理系統。
如上所述,取決於設備所欲進行的處理步驟或複數步驟,控制器可與下列的一或多者通訊交流:其他設備的電路或模組、其他設備的元件、叢集設備、其他設備的界面、相鄰設備、鄰近設備、位於工廠內的設備、主電腦、另一控制器、或半導體製造工廠中用以將晶圓容器載入與載出設備位置及/或裝載接口的材料運輸用設備。
本文中所述的各種硬體與方法實施例可與微影圖案化設備或製程一起使用,例如用以製造半導體裝置、顯示器、LED、光伏面板等的微影圖案化設備或製程。一般而言,雖然沒有必要,但此些設備/製程會在一共同的製造廠房中一起使用或進行。
薄膜的微影圖案化通常包含下列步驟的部分者或全部,每一步驟可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至工作件如其上形成有氮化矽膜的基板上;(2)利用熱板、爐管或其他適合的固化設備固化光阻;(3)利用一設備如晶圓步進機將光阻曝露至可見光或UV光或X射線;(4)利用一設備如濕式槽或噴塗顯影設備顯影光阻以選擇性地移除光阻藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件中;及(6)利用一設備如RF或微波電漿光阻剝除設備移除光阻。在某些實施例中,在施加光阻之前可沉積可灰化的硬遮罩層(如非晶碳層)及另一適合的硬遮罩(如抗反射層)。
應瞭解,文中所述的配置及/或方法具有例示性的本質,此些特定實施例或實例不應被視為是限制性的,許多變化皆可行。文中所述之特定日常工作或方法可代表任何數目之製程策略中的一或多者。是以,可以所述的順序、其他順序、平行順序、或在某些情況中省略任一者的方式施行所述的各種步驟。類似地,可改變上述製程的順序。
本發明的標的包含文中所述之各種製程、系統、配置、其他特徵、功能、動作及/或特性的所有新穎與非顯而易見性組合與次組合以及其所有等效物。
800‧‧‧製程
805‧‧‧步驟
810‧‧‧步驟
815‧‧‧步驟
820‧‧‧步驟
825‧‧‧步驟
900‧‧‧方法
905‧‧‧步驟
910‧‧‧步驟
915‧‧‧步驟
925‧‧‧步驟
801‧‧‧電鍍設備
803‧‧‧電鍍浴
807‧‧‧晶圓
808‧‧‧振動傳感器
809‧‧‧殼式固定件/晶圓夾頭
811‧‧‧轉子
813‧‧‧陽極
814‧‧‧惰性陽極
817‧‧‧泵浦
819‧‧‧擴散板
821‧‧‧溢流儲槽
831‧‧‧參考電極
833‧‧‧分離室
835‧‧‧電源
839‧‧‧負輸出接腳
841‧‧‧正輸出接腳
845‧‧‧加熱器
847‧‧‧系統控制器
855‧‧‧位準
865‧‧‧薄膜
1200‧‧‧電沉積設備
1202‧‧‧電鍍模組
1204‧‧‧電鍍模組
1206‧‧‧電鍍模組
1212‧‧‧模組
1214‧‧‧模組
1216‧‧‧模組
1222‧‧‧化學品稀釋模組
1224‧‧‧中央電沉積室
1228‧‧‧過濾與泵抽單元
1230‧‧‧系統控制器
1232‧‧‧晶圓搬運站
1240‧‧‧交付設備
1242‧‧‧晶圓盒
1244‧‧‧晶圓盒
1246‧‧‧交付設備
1248‧‧‧對準器
1250‧‧‧傳送站
1300‧‧‧電沉積設備
1301‧‧‧FOUP
1302‧‧‧前端機器人
1302a‧‧‧機器人軌道
1303‧‧‧轉子
1304‧‧‧前端接收站
1306‧‧‧基板
1307‧‧‧鍍池
1308‧‧‧前端接收站
1309‧‧‧安裝架
圖1A顯示鍍有鈷之一凹陷特徵部,其中該特徵部係以順形方式填充。
圖1B顯示鍍有鈷之一凹陷特徵部,其中該特徵部係以由下往上方式填充。
圖2顯示包含兩不同種類之抑制劑之電解液用的電鍍電壓。
圖3A-3D顯示填有鈷之凹陷特徵部,其中鈷填充係利用各種加速劑與抑制劑之組合為之。
圖4A-4D顯示填有鈷之凹陷特徵部,其中鈷填充係利用添加劑之各種組合為之。
圖5A-5D顯示填有鈷之凹陷特徵部,其中鈷填充係利用添加劑與電流密度之各種組合為之。
圖6A-6D及7A-7D顯示鍍有鈷之基板, 強調每一薄膜之粗糙度與片電阻。
圖8顯示利用遠端電漿預處理鈷晶種層之方法的流程圖。
圖9顯示利用退火製程預處理鈷晶種層之方法的流程圖。
圖10顯示在基板被暴露至三種預處理操作中之一者後各種鈷晶種層的片電阻降低。
圖11之表列出各種鈷離子濃度下電解液的導電率。
圖12例示使用具有不同導電率之三種不同電解液時在基板表面上不同徑向位置處的電流密度。
圖13A-13C顯示在三種不同電解液中電鍍之薄膜,每一圖中顯示所得薄膜之粗糙度。
圖13D例示圖13A-13C中所示之每一薄膜的粗糙度與片電阻。
圖14A顯示電流效率及電解液pH值為電解液中之硼酸濃度的涵數。
圖14B例示電流效率為電流密度的函數。
圖15例示根據某些實施例之電鍍設備的簡化圖。
圖16與17顯示根據某些實施例之多站電鍍系統的簡化圖。
801‧‧‧電鍍設備
803‧‧‧電鍍浴
807‧‧‧晶圓
808‧‧‧振動傳感器
809‧‧‧殼式固定件/晶圓夾頭
811‧‧‧轉子
813‧‧‧陽極
814‧‧‧惰性陽極
817‧‧‧泵浦
819‧‧‧擴散板
821‧‧‧溢流儲槽
831‧‧‧參考電極
833‧‧‧分離室
835‧‧‧電源
839‧‧‧負輸出接腳
841‧‧‧正輸出接腳
845‧‧‧加熱器
847‧‧‧系統控制器
855‧‧‧位準
865‧‧‧薄膜

Claims (24)

  1. 一種將鈷電鍍至基板上之複數凹陷特徵部中的方法,該方法包含:               在一電鍍室中接收該基板,該基板包含其上具有一鈷晶種層的該複數凹陷特徵部,該鈷晶種層具有約50 Å 或更少的一厚度,該複數凹陷特徵部具有介於約10-150 nm之間的一寬度;               將該基板浸沒至一電解液中,該電解液包含硼酸、鹵素離子、鈷離子、及在該複數凹陷特徵部中達到由下往上無縫填充用的複數有機添加劑;及               在提供由下往上填充的複數條件下電鍍鈷至該複數凹陷特徵部中,其中在電鍍期間該基板處之一電鍍電位相對於一HgSO4 硫酸汞參考電極具有介於約1.0-3.5 V之間的一強度。
  2. 如申請專利範圍第1項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該電解液具有約10 mS/cm或更少的一導電率。
  3. 如申請專利範圍第1項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該電解液具有約5 g/L或更少的一鈷離子濃度。
  4. 如申請專利範圍第1項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該複數有機添加劑包含一抑制劑,該抑制劑包含一含氮基團。
  5. 如申請專利範圍第4項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該抑制劑包含一胺基團。
  6. 如申請專利範圍第5項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該抑制劑包含聚乙烯亞胺(PEI)。
  7. 如申請專利範圍第1至6項中任一項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該複數有機添加劑包含一加速劑,該加速劑係選自由3-巰基-1-丙烷磺酸鈉鹽(MPS)、硫脲、及其組合所構成的群組。
  8. 如申請專利範圍第7項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該複數有機添加劑更包含一抑制劑,該抑制劑包含聚乙烯亞胺(PEI)。
  9. 如申請專利範圍第8項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該抑制劑係以介於約10-60 ppm之間的一濃度存在於該電解液中,該加速劑係以介於約150-400 ppm之間的一濃度存在於該電解液中,在由下往上填充期間電鍍以一定電流密度進行,該電流密度係介於約0.5-7 mA/cm2 之間。
  10. 如申請專利範圍第1至6項中任一項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該複數有機添加劑包含一加速劑及一抑制劑,該電解液中該加速劑之濃度對該抑制劑之濃度的一比值係至少約3:1(以ppm為單位量測)。
  11. 如申請專利範圍第10項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該電解液中之該加速劑的該濃度係介於約150-500 ppm之間且該電解液中之該抑制劑的該濃度係介於約10-60 ppm之間。
  12. 如申請專利範圍第1至6項中任一項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,更包含:               在該電鍍室中接收該基板之前,藉著將該基板暴露至一遠端生成之含氫電漿預處理該基板以藉此減少存在於該鈷晶種層上的氧化物。
  13. 如申請專利範圍第12項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該遠端電漿預處理降低該晶種層之一片電阻至少約15%而達介於約50-1000 Ω/cm2 之間的一值。
  14. 如申請專利範圍第1至6項中任一項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,更包含:               在該電鍍室中接收該基板之前,將該基板暴露至一還原氣體同時以至少約75°C的一溫度退火該基板,藉此減少存在於該鈷晶種層上的氧化物。
  15. 如申請專利範圍第14項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該退火預處理降低該晶種層之一片電阻至少約15%以達介於約50-1000 Ω/cm2 之間的一值。
  16. 如申請專利範圍第1至6項中任一項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該基板係於開電路條件下受到浸沒,其中在該浸沒之後發生一感應期間且在該感應期間無電壓或電流被施加於該基板,該感應期間具有介於約0.5-5秒之間的一持續期間。
  17. 如申請專利範圍第1至6項中任一項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該電解液更包含一整平劑及/或一潤濕劑。
  18. 如申請專利範圍第17之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該整平劑及/或該潤濕劑包含聚乙二醇(PEG),該PEG係以介於約10-500 ppm之間的一濃度存在於該電解液中。
  19. 如申請專利範圍第18項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該電鍍鈷具有約3 nm或更低的一RMS粗糙度。
  20. 如申請專利範圍第1至6項中任一項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該電解液包含: (i) 介於約0.5-5 g/L之間的鈷(II)離子, (ii) 介於約2-35 g/L之間的硼酸, (iii) 介於約0.1-0.3 g/L之間的聚乙二醇,及 (iv) 介於約4-6之間的一pH值。
  21. 如申請專利範圍第1至6項中任一項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該電解液包含: (i) 選自由下列者所構成的群組的一加速劑:N,N-二甲基-二硫代胺甲酸(-3-磺丙基)酯、3-巰基-丙磺酸-(3-丙基硫)酯、3-氫硫基-1-丙烷磺酸鹽、具有3-巰基-1-丙烷磺酸鉀鹽之碳酸-二硫-o-乙酯-s-酯、二磺丙基二硫化物、3-(苯并噻唑基-s-硫)丙磺酸鈉鹽、吡啶丙磺酸甜菜鹽、1-鈉-3-巰基丙烷-1-磺酸鹽、N,N-二甲基-二硫氨基甲酸-(3-磺乙基)酯、3-巰基-乙基丙磺酸(3-磺乙基)酯、3-巰基-乙磺酸鈉鹽、碳酸-二硫-o-乙酯–s–酯、乙磺酸吡啶嗡鹽、硫脲、及上述者之組合;及 (ii) 選自由下列者所構成的群組的一抑制劑:羧甲基纖維素、壬基酚聚乙二醇醚、聚乙二醇二甲醚、辛二醇二(聚亞烷基二醇醚)、辛醇聚亞烷基二醇醚、聚乙二醇油酸酯、聚乙烯丙二醇、聚乙二醇、聚乙烯亞胺、聚乙二醇二甲醚、聚氧丙二醇、聚丙二醇、聚乙烯醇、聚乙二醇硬脂酸酯、硬脂醇聚乙二醇醚、聚環氧乙烷、環氧乙烷–環氧丙烷共聚物、丁醇–環氧乙烷–環氧丙烷共聚物、2-巰基-5-苯并咪唑磺酸、2-巰基苯并咪唑(MBI)、苯并三唑、及上述者之組合。
  22. 如申請專利範圍第21項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中該電解液更包含: (iii) 選自由下列者所構成的群組的一整平劑:烷基化的聚亞烷基亞胺、聚乙二醇、有機磺酸鹽、4-巰基吡啶、2-巰基噻唑啉、乙烯硫脲、硫脲、1-(2-羥乙基)2-咪唑烷基硫酮、鈉萘 2-磺酸鹽、丙烯醯胺、經取代之胺、咪唑、三唑、四唑、哌啶、嗎啉、哌嗪、吡啶、噁唑、苯并噁唑、喹啉、異喹啉、香豆素、丁炔1:4二醇與其衍生物、及上述者之組合; (iv) 選自由下列者所構成的群組的一潤濕劑:烷基苯氧基聚乙氧基乙醇、聚氧乙烯與聚乙二醇共聚物的化合物、聚氧乙烯與聚氧丙烯之嵌段與隨機共聚物、及上述者之組合;及 (v) 選自由下列者所構成的群組的一增亮劑:3-氫硫基-1-丙烷磺酸鹽、2-巰基-乙烷磺酸鈉鹽、二硫二丙烷磺酸鹽、N,N-二甲基二硫代胺甲酸酯鈉鹽、(o-乙基二硫代碳酸)-s-(3-丙基硫)-酯鉀鹽、3-[(氨基-亞氨甲基)-硫]-1-丙磺酸鈉鹽、酚酞、內酯、內醯胺、環硫酸酯、環醯亞胺、環噁唑烷酮、不對稱之炔磺酸、(N-取代之吡啶)-烷基磺酸甜菜鹽、氨基聚芳基甲烷、吡啶衍生物、喹啉衍生物、磺化的芳醛、及上述者之組合。
  23. 如申請專利範圍第1至6項中任一項之將鈷電鍍至基板上之複數凹陷特徵部中的方法,其中在電鍍期間該基板處之該電鍍電位相對於一HgSO4 硫酸汞參考電極至少約為1.8 V的一強度。
  24. 一種用以將鈷電鍍至基板上之複數凹陷特徵部中的設備,該設備包含:               一電鍍室,用以容納一電解液;               一基板支撐件,用以將該基板支撐於該電解液中;               一電源;及               一控制器,包含用以下列步驟的複數指令:                      將該基板浸沒於該電解液中,                             該基板包含其上具有一鈷晶種層的該複數凹陷特徵部,該鈷晶種層具有約50 Å 或更少的一厚度,該複數凹陷特徵部具有介於約10-150 nm之間的一寬度,                             該電解液包含硼酸、鹵素離子、鈷離子、及在該複數凹陷特徵部中達到由下往上無縫填充用的複數有機添加劑;及                             在提供由下往上填充的複數條件下電鍍鈷至該複數凹陷特徵部中,其中在電鍍期間該基板上之一電鍍電位相對於一HgSO4 硫酸汞參考電極具有介於約1-3.5 V之間的一強度。
TW105106607A 2015-03-19 2016-03-04 鈷膜電沉積用化學添加劑及製程 TWI723980B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/663,279 2015-03-19
US14/663,279 US9777386B2 (en) 2015-03-19 2015-03-19 Chemistry additives and process for cobalt film electrodeposition

Publications (2)

Publication Number Publication Date
TW201704551A true TW201704551A (zh) 2017-02-01
TWI723980B TWI723980B (zh) 2021-04-11

Family

ID=56923639

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105106607A TWI723980B (zh) 2015-03-19 2016-03-04 鈷膜電沉積用化學添加劑及製程

Country Status (3)

Country Link
US (1) US9777386B2 (zh)
KR (1) KR102546220B1 (zh)
TW (1) TWI723980B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI722295B (zh) * 2017-07-05 2021-03-21 美商麥克達米德恩碩股份有限公司 鈷內連接導線塡充
CN113773309A (zh) * 2021-09-27 2021-12-10 华东理工大学 一种香豆素吡啶盐类化合物及其应用
TWI804593B (zh) * 2018-03-20 2023-06-11 法商阿文尼公司 電鍍鈷之方法

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10714436B2 (en) 2012-12-12 2020-07-14 Lam Research Corporation Systems and methods for achieving uniformity across a redistribution layer
US20160309596A1 (en) * 2015-04-15 2016-10-20 Applied Materials, Inc. Methods for forming cobalt interconnects
US10995417B2 (en) * 2015-06-30 2021-05-04 Macdermid Enthone Inc. Cobalt filling of interconnects in microelectronics
US10749278B2 (en) * 2016-01-15 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of electroplating metal into recessed feature and electroplating layer in recessed feature
US10329683B2 (en) * 2016-11-03 2019-06-25 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants
CN107460531B (zh) * 2017-07-03 2020-11-13 阜南县申通机械制造有限公司 一种汽车覆盖件电解除蜡的方法
WO2019013761A1 (en) * 2017-07-11 2019-01-17 Atotech Deutschland Gmbh AQUEOUS COMPOSITION FOR DEPOSITION OF COBALT DEPOSITION AND METHOD FOR ELECTROLYTIC DEPOSITION OF SUCH A DEPOSITION
WO2019013762A1 (en) * 2017-07-11 2019-01-17 Atotech Deutschland Gmbh AQUEOUS COMPOSITION FOR DEPOSITION OF COBALT DEPOSITION AND METHOD FOR ELECTROLYTIC DEPOSITION OF SUCH DEPOSIT
EP3714085B1 (en) 2017-11-20 2023-08-09 Basf Se Composition for cobalt electroplating comprising leveling agent
FR3079242B1 (fr) * 2018-03-20 2020-04-10 Aveni Procede d'electrodeposition de cobalt
KR20210003796A (ko) * 2018-04-19 2021-01-12 바스프 에스이 코발트 또는 코발트 합금 전기 도금용 조성물
KR20210107044A (ko) * 2018-12-21 2021-08-31 바스프 에스이 보이드-프리 서브마이크론 피처 충전용 첨가제를 포함하는 코발트 도금용 조성물
TWI734362B (zh) 2019-01-31 2021-07-21 美商麥克達米德恩索龍股份有限公司 用於製造鎳互連之組成物及方法
US11230778B2 (en) * 2019-12-13 2022-01-25 Macdermid Enthone Inc. Cobalt chemistry for smooth topology
US11854878B2 (en) * 2019-12-27 2023-12-26 Taiwan Semiconductor Manufacturing Ltd. Bi-layer alloy liner for interconnect metallization and methods of forming the same
CN115867695A (zh) * 2020-05-08 2023-03-28 朗姆研究公司 电镀钴、镍及其合金
FR3119848A1 (fr) 2021-02-18 2022-08-19 Aveni Electrolyte et Procédé d’électrodéposition de cobalt
CN113122887A (zh) * 2021-04-15 2021-07-16 电子科技大学 一种用于芯片互连的电镀钴镀液及配制方法
CN115058741A (zh) * 2022-06-30 2022-09-16 金川集团股份有限公司 一种电积钴生产用添加剂
CN115874236A (zh) * 2022-12-06 2023-03-31 哈尔滨工业大学 用于集成电路填钴的镀钴添加剂、电镀钴镀液及电镀方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR761517A (fr) * 1933-10-03 1934-03-21 Utilisation Des Metaux Soc D Perfectionnements aux procédés de cobaltage galvanique
CA1222720A (en) * 1982-01-29 1987-06-09 Wim J.C. Verberne Zinc cobalt alloy plating
DK172937B1 (da) * 1995-06-21 1999-10-11 Peter Torben Tang Galvanisk fremgangsmåde til dannelse af belægninger af nikkel, kobalt, nikkellegeringer eller kobaltlegeringer
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6194315B1 (en) * 1999-04-16 2001-02-27 Micron Technology, Inc. Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP4124432B2 (ja) * 2002-10-31 2008-07-23 独立行政法人科学技術振興機構 ナノサイズの金属コバルト微粒子の電解析出方法
KR100772320B1 (ko) * 2004-12-15 2007-10-31 주식회사 엘지화학 은 박막 형성용 전기도금용액 및 그 용액을 이용한 은박막 형성방법
FR2898138B1 (fr) * 2006-03-03 2008-05-16 Commissariat Energie Atomique Procede de structuration electrochimique d'un materiau conducteur ou semi-conducteur, et dispositif de mise en oeuvre.
US8703615B1 (en) 2008-03-06 2014-04-22 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
RU2013133648A (ru) * 2010-12-21 2015-01-27 Басф Се Композиция для электролитического осаждения металлов, содержащая выравнивающий агент
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US20150053565A1 (en) * 2013-08-26 2015-02-26 Lam Research Corporation Bottom-up fill in damascene features
US9496145B2 (en) * 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI722295B (zh) * 2017-07-05 2021-03-21 美商麥克達米德恩碩股份有限公司 鈷內連接導線塡充
US11035048B2 (en) 2017-07-05 2021-06-15 Macdermid Enthone Inc. Cobalt filling of interconnects
TWI804593B (zh) * 2018-03-20 2023-06-11 法商阿文尼公司 電鍍鈷之方法
CN113773309A (zh) * 2021-09-27 2021-12-10 华东理工大学 一种香豆素吡啶盐类化合物及其应用

Also Published As

Publication number Publication date
KR102546220B1 (ko) 2023-06-22
KR20160112980A (ko) 2016-09-28
US9777386B2 (en) 2017-10-03
US20160273117A1 (en) 2016-09-22
TWI723980B (zh) 2021-04-11

Similar Documents

Publication Publication Date Title
TWI723980B (zh) 鈷膜電沉積用化學添加劑及製程
US9617648B2 (en) Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
KR102364570B1 (ko) 충진 및 디펙트 제어를 위한 저 구리 전기도금 용액들
US11078591B2 (en) Process for optimizing cobalt electrofill using sacrificial oxidants
KR102309859B1 (ko) 다마신 피처들 내의 보텀―업 충진
EP1422320A1 (en) Copper electroplating bath
US20210156045A1 (en) Copper electrofill on non-copper liner layers
US20160102416A1 (en) Low copper/high halide electroplating solutions for fill and defect control
US20160355939A1 (en) Polarization stabilizer additive for electroplating
US20220102209A1 (en) Electrodeposition of cobalt tungsten films
US20230178430A1 (en) Electroplating cobalt, nickel, and alloys thereof
US20230026818A1 (en) Tsv process window and fill performance enhancement by long pulsing and ramping
EP4133121A1 (en) Electrofill from alkaline electroplating solutions