US20160355939A1 - Polarization stabilizer additive for electroplating - Google Patents

Polarization stabilizer additive for electroplating Download PDF

Info

Publication number
US20160355939A1
US20160355939A1 US14/732,306 US201514732306A US2016355939A1 US 20160355939 A1 US20160355939 A1 US 20160355939A1 US 201514732306 A US201514732306 A US 201514732306A US 2016355939 A1 US2016355939 A1 US 2016355939A1
Authority
US
United States
Prior art keywords
polarization
electroplating
plating
substrate
electrolyte
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/732,306
Inventor
Matthew Sherman Thorum
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US14/732,306 priority Critical patent/US20160355939A1/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: THORUM, MATTHEW SHERMAN
Publication of US20160355939A1 publication Critical patent/US20160355939A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas

Definitions

  • Electroplating is a method of choice for depositing metal into the vias and trenches of the wafer during damascene processing, and is also used to fill Through-Silicon Vias (TSVs), which are relatively large vertical electrical connections used in 3D integrated circuits and 3D packages. Electroplating may also be used to fill through resist WLP structures.
  • TSVs Through-Silicon Vias
  • electroplating electrical contacts are made to the seed layer (typically at the periphery of the wafer), and the wafer is electrically biased to serve as a cathode.
  • the wafer is brought into contact with an electroplating solution, which contains ions of metal to be plated, and an acid that provides sufficient conductivity to the electroplating solution.
  • typical electroplating solutions for electrodeposition of copper are acidic solutions containing copper sulfate and sulfuric acid or copper methanesulfonate and methanesulfonic acid.
  • the plating solutions may also contain additives, known as accelerators, suppressors, and levelers that modulate electrodeposition rates on different surfaces of the substrate. These plating solutions often have a pH of less than about 1.
  • Electroplating is typically conducted for an amount of time that is sufficient to fill the recessed features with metal. Then, the unwanted metal deposited on the field regions of the wafer is removed in a planarization operation, such as by a chemical mechanical polishing (CMP).
  • CMP chemical mechanical
  • an electroplating solution includes organic plating additives designed to promote bottom-up fill in recessed features on the substrate.
  • the electroplating solutions used herein may include an additional component referred to as a polarization stabilizer compound (often referred to more simply as a polarization stabilizer).
  • the polarization stabilizer compound may help maintain the electrolyte-substrate interface at a more constant degree of polarization over the course of plating.
  • a method of electroplating copper on a workpiece including: (a) contacting a surface of the workpiece with an electroplating solution including copper ions, a suppressor, an accelerator, and a polarization stabilizer compound including a phenol group; and (b) applying a negative potential to the workpiece to thereby electroplate copper onto the surface of the workpiece, where electroplating occurs over a duration of at least about 10 minutes.
  • the polarization stabilizer compound may include two phenol groups.
  • the polarization stabilizer compound is a bisphenol compound.
  • the bisphenol compound may be a particular bisphenol compound in some cases, such as bisphenol A or bisphenol S.
  • the polarization stabilizer compound may be an antioxidant. In a number of cases the polarization stabilizer compound contains no nitrogen atoms.
  • Applying the negative potential to the workpiece may produce a current density on the surface of the workpiece, for example at about 5 mA/cm 2 or less, on average, over the course of electroplating.
  • the electroplating may be conducted for a duration of at least about 20 minutes, for example at least about 60 minutes.
  • the surface of the workpiece includes recessed features.
  • recessed features may be through silicon vias.
  • the polarization stabilizer may help improve the stability of the polarization of the substrate-electrolyte interface.
  • the polarization of the workpiece-electroplating solution interface does not vary by more than about 10% for at least about 20 minutes during electroplating. In these or other cases, the polarization of the workpiece-electroplating solution interface does not vary by more than about 0.02 V for at least about 20 minutes during electroplating.
  • the polarization stabilizer compound may provide leveling characteristics to the electroplating solution in some cases.
  • the electroplating solution may have particular characteristics.
  • copper ions may be present in the electroplating solution at a concentration between about 40-70 g/L.
  • the electroplating solution may also include an acid, and may have a pH of about 2 or less.
  • the accelerator in certain embodiments may include at least one material selected from the group consisting of: dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and derivatives thereof.
  • the suppressor may include at least one material selected from the group consisting of: polyethylene oxide, polypropylene oxide, polyethylene glycol, and polypropylene glycol, any of which may optionally include S- and/or N-containing functional groups.
  • the electroplating solution does not include a leveler.
  • the polarization stabilizer compound may be provided at a particular concentration. In some cases, the polarization stabilizer compound is provided at a concentration between about 0.1-100 ppm, for example between about 5-20 ppm, and in some cases about 10 ppm or less.
  • an apparatus for electroplating metal onto a semiconductor substrate may include a plating chamber configured to contain an electrolyte and an anode; a substrate holder configured to hold the substrate; and a controller having instructions to (i) provide the electrolyte in the plating chamber, the electrolyte being provided at a composition comprising an accelerator compound, a suppressor compound, and a polarization stabilizer compound, (ii) immerse the substrate in the electrolyte, and (iii) electroplate metal onto the substrate, wherein metal is electroplated onto the substrate for a duration of at least about 10 minutes.
  • the controller may have instructions to dose the polarization stabilizer compound into the electrolyte.
  • Such instructions may include instructions to dose the polarization stabilizer compound into the electrolyte at a particular concentration. In some cases, this concentration may be between about 0.1-100 ppm, for example between about 5-20 ppm, or about 10 ppm or less.
  • an electroplating solution for electroplating copper metal onto a workpiece including: an aqueous solution of copper ions, a suppressor, an accelerator, and a polarization stabilizer compound including two phenol groups.
  • the polarization stabilizer compound provides leveling characteristics to the electroplating solution.
  • the polarization stabilizer compound may be a bisphenol compound.
  • Example bisphenol compounds include, but are not limited to, bisphenol A and bisphenol S.
  • the polarization stabilizer compound may be an antioxidant in various cases.
  • the polarization stabilizer compound may be free of nitrogen atoms in some cases.
  • the electroplating solution may have copper ions present at a concentration between about 40-70 g/L in some embodiments. Further, the electroplating solution may include acid, and may have a pH of about 2 or less.
  • the accelerator may include at least one material selected from the group consisting of: dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and derivatives thereof.
  • the suppressor may include at least one material selected from the group consisting of: polyethylene oxide, polypropylene oxide, polyethylene glycol, and polypropylene glycol, any of which may optionally include S- and/or N-containing functional groups.
  • the electroplating solution may be free of leveler in some embodiments.
  • the polarization stabilizer compound may be provided at a concentration between about 0.1-100 ppm, for example between about 5-20 ppm, or at a concentration of about 10 ppm or less.
  • an electroplating solution for electroplating copper metal onto a workpiece including: an aqueous solution of copper ions, a suppressor, an accelerator, and a polarization stabilizer compound, the polarization stabilizer compound being provided at a concentration between about 0.1-100 ppm.
  • the polarization stabilizer compound provides leveling characteristics to the electroplating solution.
  • the polarization stabilizer compound may include two or more phenol groups, and in some cases may be a bisphenol compound.
  • Example bisphenol compounds include, but are not limited to, bisphenol A and bisphenol S.
  • the polarization stabilizer compound may be an antioxidant in various cases.
  • the polarization stabilizer compound may be free of nitrogen atoms in some cases.
  • the electroplating solution may have copper ions present at a concentration between about 40-70 g/L in some embodiments. Further, the electroplating solution may include acid, and may have a pH of about 2 or less.
  • the accelerator may include at least one material selected from the group consisting of: dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and derivatives thereof.
  • the suppressor may include at least one material selected from the group consisting of: polyethylene oxide, polypropylene oxide, polyethylene glycol, and polypropylene glycol, any of which may optionally include S- and/or N-containing functional groups.
  • the electroplating solution may be free of leveler in some embodiments.
  • FIG. 1 illustrates several compounds that may be used as polarization stabilizers according to certain embodiments.
  • FIG. 2 presents cross-sectional views of recessed features plated in electrolytes having differing concentrations of a polarization stabilizer.
  • FIG. 3 shows a graph illustrating the polarization vs. time during plating for the features/substrates shown in FIG. 2 .
  • FIG. 4 is a graph showing the polarization vs. time for two different electrolytes, one of which included a polarization stabilizer.
  • FIG. 5 depicts a graph illustrating current vs. time for substrates plated in two different electrolytes, one of which included a polarization stabilizer.
  • FIG. 6 is a graph showing polarization vs. time for substrates in electrolytes of different compositions.
  • FIG. 7 is a graph showing current vs. time for a substrate plated in electrolytes of different compositions.
  • FIG. 8 is a flow chart for a method of electroplating according to various embodiments.
  • FIG. 9 illustrates a simplified cross-sectional view of an electroplating chamber.
  • FIG. 10 depicts a multi-tool apparatus for electroplating a substrate according to certain embodiments.
  • FIG. 11 depicts another embodiment of a multi-tool apparatus for electroplating.
  • semiconductor wafer semiconductor wafer
  • wafer semiconductor wafer
  • substrate substrate
  • wafer substrate semiconductor substrate
  • partially fabricated integrated circuit can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon.
  • a wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm.
  • electroplating bath plat bath
  • bath bath
  • plat solution plating solution
  • the work piece may be of various shapes, sizes, and materials.
  • other work pieces that may take advantage of the disclosed embodiments include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micro-mechanical devices and the like.
  • electroplating may occur in the context of filling a through-silicon-via (TSV) feature, though the embodiments are not so limited.
  • electroplating may occur in the context of forming a through resist wafer level packaging (WLP) structure.
  • TSV and WLP features are relatively large and take a long time to fill. The long fill time may be a result of the features' size/shape and related limitations on current density during electroplating. For example in TSV fill, relatively low currents may be used to prevent the formation of voids in the filled feature.
  • Electrolyte stability is particularly important in cases where a feature is filled over a long time period, as is the case with TSV features and other large recessed features. It is generally beneficial for an electrolyte to have a relatively stable composition and relatively stable properties such as pH, conductivity, degree of polarization over the substrate-electrolyte interface, etc.
  • a polarization stabilizer may be used to help maintain polarization of the substrate-electrolyte interface at a more constant level over time. The polarization stabilizer may be included in the electrolyte along with other organic plating additives to promote high quality bottom-up fill results.
  • the substrate being electroplated may include recessed features such as TSV features.
  • a TSV is a vertical electrical connection that passes through a silicon wafer or die. TSVs are used to fabricate 3D packages and 3D integrated circuits. Such features are typically filled with copper.
  • the disclosed embodiments may also be useful in electroplating metal into other types of features. Relatively large features that are similarly sized and/or shaped to the TSV features described herein may particularly benefit from the disclosed embodiments. Although various details herein relate to TSVs, it is understood that such details may apply to other types of recessed features, as well.
  • TSV features may have a diameter between about 0.2-20 ⁇ m, or between about 2-10 ⁇ m. In one example, TSV features are on the order of about 6 ⁇ m in diameter. The TSV features may have a depth between about 5-200 ⁇ m, or between about 30-100 ⁇ m. In one example, TSV features are on the order of about 60 ⁇ m deep.
  • TSV features can have relatively high aspect ratios, defined as a ratio between the depth of the feature to the critical dimension of a feature (often the diameter). For example, a recessed cylinder having a depth of 60 ⁇ m and a diameter of 6 ⁇ m has an aspect ratio of 10:1, which may be reported more simply as 10. In certain embodiments, a TSV feature may have an aspect ratio between about 2:1 and about 30:1, for example between about 5:1 and about 20:1. The relatively high aspect ratio makes these features challenging to fill. In particular, it can be difficult to fill such features without forming any voids or seams in the fill material.
  • One technique for minimizing the risk that voids will form is to electroplate at a relatively low current density (e.g., less than about 2 mA/cm 2 in some cases).
  • Typical fill times for TSV features may range between about 10-120 minutes, for example between about 20-100 minutes.
  • electroplating occurs for a duration of at least about 10 minutes, for example at least about 20 minutes, at least about 30 minutes, at least about 45 minutes, or at least about 60 minutes.
  • electrolyte stability that is particularly challenging to address is the degree of polarization of the substrate-electrolyte interface.
  • Typical electrolytes used for plating copper result in decreased polarization over time.
  • Such changes in polarization can deleteriously impact plating results on a single substrate (e.g., leading to underfilling or void formation), and can lead to substantial non-uniformities between different substrates processed at different times in a given electrolyte.
  • Electrolytes used in electroplating copper into recessed features typically contain a copper salt, an acid, halide ions, an accelerator, a suppressor, and in some cases a leveler.
  • the copper salt is the copper source for the deposition.
  • Acid is generally used to control the conductivity of the plating bath.
  • Halide ions may modulate the adsorption of certain organic additives (e.g., accelerator, suppressor and/or leveler) onto a substrate surface to encourage a bottom-up fill mechanism, described below.
  • Example copper salts include, but are not limited to, copper sulfate, copper methanesulfonate, copper pyrophosphate, copper propanesulfonate, etc.
  • copper salts may be provided to the electrolyte to achieve a copper concentration between about 30-80 g/L, for example between about 40-70 g/L.
  • the concentration of copper ions reflects the concentration (mass per volume) of copper cations, and does not include the mass of any anions associated with the copper cations.
  • Example acids include, but are not limited to, sulfuric acid and methanesulfonic acid.
  • the concentration of acid in the electrolyte may be between about 0.1-120 g/L, for example between about 30-90 g/L.
  • the concentration of acid reflects the concentration (mass per volume) of the entire acid molecule, not the mass of hydrogen cations alone.
  • a pH of the electrolyte may be between about 0-4, for example less than about 2.
  • Example halide ions include, but are not limited to, chloride, bromide, and combinations thereof.
  • the concentration of halide ions may be between about 10-100 ppm, for example between about 40-60 ppm.
  • recessed features are electroplated, it is often desirable for the fill to occur according to a bottom-up mechanism.
  • This fill mechanism can help ensure that the feature is completely filled from the bottom upwards, without the formation of voids or seams that would affect the integrity of the semiconductor device.
  • particular organic additives may be included in the electrolyte.
  • suppressors are surface-kinetic limiting (or polarizing) compounds that lead to a significant increase in the voltage drop across the substrate-electrolyte interface, especially when present in combination with a surface adsorbing halide (e.g., chloride or bromide).
  • the halide may act as a chemisorbed-bridge between the suppressor molecules and the wafer surface.
  • the suppressor both (1) increases the local polarization of the substrate surface at regions where the suppressor is present relative to regions where the suppressor is absent, and (2) increases the polarization of the substrate surface generally.
  • the increased polarization corresponds to increased resistivity/impedance and therefore slower plating at a particular applied potential.
  • suppressors are not significantly incorporated into the deposited film, though they may slowly degrade over time by electrolysis or chemical decomposition in the bath.
  • Suppressors are often relatively large molecules, and in many instances they are polymeric in nature (e.g., polyethylene oxide, polypropylene oxide, polyethylene glycol, polypropylene glycol, etc).
  • Other examples of suppressors include polyethylene and polypropylene oxides with S- and/or N-containing functional groups, block polymers of polyethylene oxide and polypropylene oxides, etc.
  • the suppressors can have linear chain structures or branch structures or both. It is common that suppressor molecules with various molecular weights co-exist in a commercial suppressor solution. Due in part to suppressors' large size, the diffusion of these compounds into a recessed feature can be relatively slow compared to other bath components.
  • Suppressors are typically present at dilute concentrations in the electrolyte, for example between about 50-500 ppm, or between about 100-200 ppm.
  • accelerators tend to locally reduce the polarization effect associated with the presence of suppressors, and thereby locally increase the electrodeposition rate.
  • Accelerators may act by stabilizing metal ion intermediates (e.g., Cu + ) formed during the plating process.
  • the reduced polarization effect is most pronounced in regions where the adsorbed accelerator is most concentrated (i.e., the polarization is reduced as a function of the local surface concentration of adsorbed accelerator).
  • Example accelerators include, but are not limited to, dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and their derivatives.
  • SPS bis-(3-sulfopropyl) disulfide
  • the accelerator may become strongly adsorbed to the substrate surface and generally laterally-surface immobile as a result of the plating reactions, the accelerator is generally not significantly incorporated into the film. Thus, the accelerator remains on the surface as metal is deposited. As a recess is filled, the local accelerator concentration increases on the surface within the recess. Accelerators tend to be smaller molecules and exhibit faster diffusion into recessed features, as compared to suppressors.
  • Accelerators are typically present at dilute concentrations, for example in the range of about 1-10 ppm in some embodiments. In cases where an accelerator builds up on the field region of a substrate, the substrate-electrolyte interface polarization may decrease in this region and the recessed feature may be underfilled.
  • levelers act as suppressing agents, in some cases to counteract the depolarization effect associated with accelerators, especially in exposed portions of a substrate, such the field region of a wafer being processed, and at the side walls of a feature.
  • the leveler may locally increase the polarization/surface resistance of the substrate, thereby slowing the local electrodeposition reaction in regions where the leveler is present.
  • the local concentration of levelers is determined to some degree by mass transport. Therefore levelers act principally on surface structures having geometries that protrude away from the surface. This action “smooths” the surface of the electrodeposited layer. It is believed that in many cases the leveler reacts or is consumed at the substrate surface at a rate that is at or near a diffusion limited rate, and therefore, a continuous supply of leveler is often beneficial in maintaining uniform plating conditions over time.
  • Leveler compounds are generally classified as levelers based on their electrochemical function and impact and do not require specific chemical structure or formulation. However, levelers often contain one or more nitrogen, amine, imide or imidazole, and may also contain sulfur functional groups. Certain levelers include one or more five and six member rings and/or conjugated organic compound derivatives. Nitrogen groups may form part of the ring structure. In amine-containing levelers, the amines may be primary, secondary, tertiary, or quaternary alkyl or aryl amines. Furthermore, the amine may be an aryl amine or a heterocyclic amine.
  • Example amines include, but are not limited to, dialkylamines, trialkylamines, arylalkylamines, triazoles, imidazole, triazole, tetrazole, benzimidazole, benzotriazole, piperidine, morpholines, piperazine, pyridine, oxazole, benzoxazole, pyrimidine, quonoline, and isoquinoline. Imidazole and pyridine may be especially useful.
  • Another example of a leveler includes Janus Green B. Leveler compounds may also include ethoxide groups.
  • the leveler may include a general backbone similar to that found in polyethylene glycol or polyethyelene oxide, with fragments of amine functionally inserted over the chain (e.g., Janus Green B).
  • Example epoxides include, but are not limited to, epihalohydrins such as epichlorohydrin and epibromohydrin, and polyepoxide compounds. Polyepoxide compounds having two or more epoxide moieties joined together by an ether-containing linkage may be especially useful. Some leveler compounds are polymeric, while others are not.
  • Example polymeric leveler compounds include, but are not limited to, polyethylenimine, polyamidoamines, quaternized poly(vinylpyridine), and reaction products of an amine with various oxygen epoxides or sulfides.
  • a non-polymeric leveler is 6-mercapto-hexanol.
  • Another example leveler is polyvinylpyrrolidone (PVP).
  • PVP polyvinylpyrrolidone
  • a leveler may be provided at a relatively low concentration, for example between about 0.1-10 ppm.
  • a polarization stabilizer may also exhibit some leveling properties/characteristics. For example, addition of a polarization stabilizer may lead to an increase in polarization over time if the plated sample has been pretreated with an accelerator solution before plating to saturate the surface with adsorbed accelerator. This response suggests that the polarization stabilizer has the ability to deactivate an accelerator. In these or other cases, the electrolyte may exclude compounds traditionally identified as levelers.
  • an additive provided as an accelerator or suppressor e.g., HSL-A and/or HSL-B, discussed further below
  • HSL-A and/or HSL-B discussed further below
  • a recessed feature on a plating surface tends to be plated with metal from the bottom to the top of the feature. It is important to control the deposition rate within the feature and in the field region in order to achieve uniform filling and avoid incorporating voids into the features.
  • the three types of additives described above are beneficial in accomplishing bottom-up fill, each working to selectively increase or decrease the polarization at the substrate surface.
  • the suppressor After the substrate is immersed in electrolyte, the suppressor adsorbs onto the surface of the substrate, especially in exposed regions such as the field region.
  • the initial plating stages there is a substantial differential in suppressor concentration between the top and bottom of a recessed feature. This differential is present due to the relatively large size of the suppressor molecule and its correspondingly slow transport properties.
  • accelerator accumulates at a low, substantially uniform concentration over the entire plating surface, including the bottom and side walls of the feature. Because the accelerator diffuses into features more rapidly than the suppressor, the initial ratio of accelerator:suppressor within the feature (especially at the feature bottom) is relatively high.
  • the relatively high initial accelerator:suppressor ratio within the feature promotes rapid plating from the bottom of the feature upward. Meanwhile, the initial plating rate in the field region is relatively low due to the lower ratio of accelerator:suppressor. Thus, in the initial plating stages, plating occurs relatively faster within the feature and relatively slower in the field region.
  • the feature fills with metal and the surface area within the feature is reduced. Because of the decreasing surface area and the accelerator substantially remaining on the surface, the local surface concentration of accelerator within the feature increases as plating continues. This increased accelerator concentration within the feature helps maintain the differential plating rate beneficial for bottom-up fill.
  • the accelerator may build up in certain regions (e.g., above filled features) undesirably, resulting in local faster-than-desired plating.
  • Leveler may be used to counteract this effect.
  • the surface concentration of leveler is greatest at exposed regions of a surface (i.e., not within recessed features) and where convection is greatest. It is believed that the leveler displaces accelerator, increases the local polarization and decreases the local plating rate at regions of the surface that would otherwise be plating at a rate greater than at other locations on the deposit.
  • the leveler tends, at least in part, to reduce or remove the influence of an accelerating compound at the exposed regions of a surface, particularly at protruding structures. Without leveler, a feature may tend to overfill and produce a bump. Therefore, in the later stages of bottom-up fill plating, levelers are beneficial in producing a relatively flat deposit.
  • suppressor may allow a feature to be filled without voids from the bottom-up while producing a relatively flat deposited surface.
  • the exact identity/composition of the additive compounds are typically maintained as trade secrets by the additive suppliers, thus, information about the exact nature of these compounds is not publicly available.
  • One supplier of organic additives is Moses Lake Industries of Moses Lake, Wash.
  • HSL-A may be used as an accelerator and HSL-B may be used as a suppressor, each of which may be obtained from Moses Lake Industries.
  • the polarization of a substrate-electrolyte interface can change over time.
  • Conventional electrolytes used to plate copper into TSV features exhibit decreased polarization over the course of a few hours. After a few hours, such electrolytes may no longer be useful for electroplating (e.g., depolarized electrolytes can result in incomplete feature fill, undesirable film roughness, and reduced reflectivity), and a new electrolyte solution may be prepared.
  • TSV features often take on the order of 1 hour to fill, only a few substrates can be processed in a given conventional electrolyte. This results in substantial electrolyte costs.
  • polarization changes that occur over the course of processing a single substrate may deleteriously affect fill results on that substrate. For instance, increases or decreases in polarization can lead to features having voids, underfilled features, etc.
  • copper electroplating may occur according to the following reactions:
  • reaction (1) At open circuit potential and at low applied (reductive) potential, reaction (1) dominates. Further, reaction (3) occurs more quickly than reaction (2), such that as the plating rate increases, most of the Cu + is consumed by reaction (3). By contrast, at lower plating rates, relatively more Cu + may be present in the electrolyte.
  • Cu + ions accelerate bath degradation, and the rate of degradation increases with the concentration of Cu + . Further, excessive concentrations of Cu + ions can result in underfilled TSV features.
  • Cu + ions are formed as an intermediate between Cu 2+ (provided in the electrolyte) and Cu (plated onto the substrate). The balance between Cu + and Cu 2+ in the electrolyte shifts as a function of polarization of the substrate-electrolyte interface. In particular, greater degrees of polarization result in relatively less Cu + and relatively more Cu 2+ . Likewise, lower degrees of polarization result in relatively more Cu + and relatively less Cu 2+ .
  • a polarization stabilizer is understood to be a compound that is used in an electroplating bath along with other additives such as suppressor and accelerator to thereby improve the stability of the substrate-electrolyte interface polarization over time.
  • Polarization stabilizers may minimize and/or slow changes in polarization that occur over time.
  • the substrate-electrolyte interface becomes quickly polarized once the substrate is immersed in electrolyte.
  • the initial rapid increase in polarization is shown in FIG. 3 , for example, which is discussed further below.
  • the initial increase in polarization may occur over a relatively short time period. After the initial increase in polarization, it is beneficial for the degree of polarization to remain relatively stable. Depending on the composition of the electrolyte, however, the degree of polarization may increase or decrease over time after the initial rapid increase in polarization.
  • a polarization stabilizer may be used to achieve a more stable degree of polarization throughout a plating process and for the lifetime of the electrolyte.
  • the degree of polarization stability may be evaluated based on a baseline polarization achieved after the initial rapid polarization increase experienced upon immersion of a substrate.
  • the baseline polarization is defined for each substrate/plating process to be the maximum magnitude of polarization achieved within the first 300 s after current is applied to the substrate, measured with respect to the open-circuit potential (OCP) of the substrate in the electrolyte.
  • the degree of polarization may remain relatively constant where the electrolyte includes a polarization stabilizer.
  • the degree of polarization does not vary by more than about 5%, for example no more than about 10%, over the course of plating a single substrate. This may correspond to a change in polarization that is less than about 0.01 V over the course of plating a single substrate.
  • the polarization typically changes to a greater degree, for example about 0.03 V.
  • the inclusion of a polarization stabilizer increases the stability of electrolyte polarization by a factor of about 3, representing a substantial improvement.
  • Another way to evaluate the effectiveness of a polarization stabilizer is by examining the degree of polarization over a particular time period.
  • the effectiveness of the polarization stabilizer becomes more apparent when the duration of the plating experiment is extended, for example to 4 hours or more. In some cases this time may be longer than is typically used to fully plate a substrate in order to more fully evaluate the effectiveness of a polarization stabilizer or to evaluate the quality of the plating bath.
  • the degree of polarization does not vary by more than about 20%, for example no more than about 15%, over a duration of at least about 4 hours. This may correspond to a change in polarization that is less than about 0.04 V over this time period.
  • a similar electrolyte that does not include a polarization stabilizer exhibits about a 0.085 V change in polarization over 4 hours, representing a loss of about 38% in the degree of polarization.
  • the degree of polarization does not vary by more than about 25%, for example no more than about 20%, over a duration of at least about 8 hours. This may correspond to a change in polarization that is less than about 0.05 V over this time period.
  • a similar electrolyte that does not include a polarization stabilizer exhibits about a 0.102 V decrease in polarization over 8 hours, representing a decrease of about 46% in the degree of polarization.
  • the degree of polarization may be evaluated on a freshly prepared bath or on a bath that has been in use for plating on substrates.
  • polarization stability may be evaluated to determine whether a particular plating bath has reached the end of its lifetime (in which case a new plating bath may be prepared), or whether the plating bath can continue to be used to plate on substrates.
  • the addition of a polarization stabilizer may extend the lifetime of the plating bath so that more substrates may be plated before bath replacement is required.
  • the addition of a polarization stabilizer may reduce the rate at which fresh electrolyte is dosed into the plating bath.
  • the magnitude of the baseline polarization may be between about 0.1-0.4 V, for example between about 0.2-0.3 V (measured vs. the open circuit potential).
  • the polarization may decrease by a substantial degree, for example by about 0.03 V, or about 15%.
  • the polarization may be much more stable over time, for example changing by no more than about 0.01 V, or about 5%, over the course of plating a single substrate.
  • polarization stabilizers help maintain the substrate-electrolyte interface at a more constant degree of polarization over time.
  • a polarization stabilizer may include one or more hydroxyl groups, one or more phenol groups, one or more sulfone groups, one or more ketone groups, and/or one or more alkyl groups. Without being bound by theory or mechanism of action, it is believed that compounds having free —OH groups (in certain cases provided as a phenol) are well suited to act as polarization stabilizers.
  • the polarization stabilizer may be a bisphenol compound.
  • Particular examples may include bisphenol A (2,2-Bis(4-hydroxyphenyl)propan), bisphenol AP (1,1-Bis(4-hydroxyphenyl)-1-phenyl-ethane), bisphenol AF (2,2-Bis(4-hydroxyphenyl)hexafluoropropane), bisphenol B (2,2-Bis(4-hydroxyphenyl)butane), bisphenol BP (Bis-(4-hydroxyphenyl)diphenylmethane), bisphenol C (2,2-Bis(3-methyl-4-hydroxyphenyl)propane or Bis(4-hydroxyphenyl)-2,2-dichlorethylene), bisphenol E (1,1-Bis(4-hydroxyphenyl)ethane), bisphenol F (Bis(4-hydroxyphenyl)methane), bisphenol G (2,2-Bis(4-hydroxy-3-isopropyl-phenyl)propane), bisphenol M (1,3-(2-
  • the polarization stabilizer may also be a derivative of a bisphenol compound.
  • the polarization stabilizer may include non-polymerized phenol-containing monomers.
  • the phenol monomers may include one or more free/non-functionalized —OH groups.
  • the polarization stabilizer may be benzophenone or a benzophenone derivative.
  • the polarization stabilizer may be a benzophenone analog where one or both of the benzene rings are modified to include a hydroxyl group.
  • the polarization stabilizer may be free of quaternary nitrogen, and in some cases it may be free of any nitrogen.
  • the polarization stabilizer may be an antioxidant compound such as those based on phenolic acids, for example as gallic acid and its derivatives (including but not limited to propyl gallate and ethyl gallate, etc.). More than one polarization stabilizer compound may be present in an electrolyte.
  • diphenylsulfone was also tested as a possible polarization stabilizer. However, this compound did not exhibit polarization stabilizing properties.
  • bisphenol S was tested (as described further below) and was shown to exhibit significant polarization stabilizing properties.
  • the only structural difference between diphenylsulfone and BPS is the inclusion of —OH groups on the benzene rings. As such, it is believed that phenolic groups may be important with respect to designing/selecting a polarization stabilizer, at least in certain implementations.
  • FIG. 1 illustrates various compounds that may be used as polarization stabilizers in certain embodiments.
  • FIG. 1 does not show all of the examples listed above, and is not intended to be limiting.
  • the concentration of the polarization stabilizer in electrolyte may depend on the identity of the polarization stabilizer.
  • the polarization stabilizer may be provided at a concentration between about 0.1-100 ppm, or between about 5-20 ppm.
  • the polarization stabilizer is bisphenol S (BPS).
  • BPS bisphenol S
  • BPS has been shown to increase and stabilize the polarization of the substrate-electrolyte interface during copper electroplating. Where BPS is used, it may be provided at a concentration between about 1-10 ppm. At higher concentrations, e.g., about 25 ppm, BPS has been shown to result in increased polarization and formation of voids. It is possible that void formation may be mitigated through other means such as by applying a lower current density to the substrate during plating.
  • FIG. 2 presents cross-sectional views of recessed features plated on silicon coupons.
  • the recessed features were vias having a diameter of about 6 ⁇ m and a depth of about 60 ⁇ m.
  • the coupons were about 0.78 cm 2 in area, and were attached to a rotating disk electrode.
  • the coupons were plated in an electrolyte that included particular organic additives including 1 mL/L HSL-A (accelerator) and 5 mL/L HSL-B (suppressor).
  • HSL-A and HSL-B are available from Moses Lake Industries. The plating occurred at a temperature of about 20° C., and the electrolyte was saturated with air (in other words, it was not degassed).
  • Plating occurred using a 2 second cold entry during which open circuit conditions were applied, followed by plating for 30 seconds at a current density of about 0.1 mA/cm 2 , followed by plating for 30 minutes at a current density of about 1.5 mA/cm 2 .
  • the fill results with 0-10 ppm BPS are acceptable, and the fill result with 25 ppm BPS includes unacceptable voids. Electrolytes with higher concentrations of BPS showed fill results similar to the 25 ppm case. Within the acceptable fill results, the feature plated with 0 ppm BPS was slightly underfilled, the feature plated with 1 ppm BPS was filled but not overfilled, and the feature plated with 10 ppm BPS showed very good overfill results, with the metal above the filled feature reaching about the same height as the metal plated on the field region.
  • FIG. 3 presents a graph illustrating polarization vs. time over the course of electroplating for the features shown in FIG. 2 .
  • the results show that inclusion of BPS can increase the polarization and polarization stability of the substrate-electrolyte interface.
  • the 1 ppm BPS case shows a greater degree of polarization (more negative) than the 0 ppm case.
  • the degree of polarization changes less in the 1 ppm BPS case compared to the 0 ppm case.
  • the 10 ppm BPS case shows a higher degree of polarization than the 1 ppm BPS case.
  • the 0 ppm and 1 ppm BPS cases both show a noticeably decreasing polarization (becoming less negative) over the course of plating (though the 1 ppm case does show improvement compared to the 0 ppm case).
  • the 10 ppm BPS case shows particularly good results, with a very stable polarization achieved after an initial drop during/immediately after immersion.
  • the polarization is stable up until about 1100 seconds, at which point the feature was substantially filled. After this time, the polarization increased slightly (becoming more negative) and then stabilized to a new level.
  • the 25 ppm BPS case showed increasing polarization (becoming more negative) over the course of plating, and a higher degree of polarization throughout plating, suggesting that concentrations of BPS in this range can result in over-polarization under the plating conditions used.
  • the fill results can be improved, as shown by the features in FIG. 2 . More constant polarization may reduce the risk of underfilling a feature and/or the risk of forming a void in a feature.
  • the baseline polarization measured with respect to the open-circuit potential of the substrate was on the order of about 0.23 V. More specifically, for the 0 ppm BPS case the baseline polarization was about 0.23 V, for the 1 ppm BPS case the baseline polarization was about 0.23 V, for the 10 ppm BPS case the baseline polarization was about 0.24 V, and for the 25 ppm case the baseline polarization was about 0.25 V. Over the course of the experiment, each electrolyte showed a different level of polarization change. Specifically, in the 0 ppm BPS case the polarization decreased by about 15%. In the 1 ppm BPS case the polarization decreased by about 11%. In the 10 ppm BPS case the polarization decreased by about 3%, then increased to a level that was about 4% higher than the baseline polarization. In the 25 ppm BPS case the polarization increased by about 14%.
  • the baseline polarization measured with respect to the open circuit potential of the substrate was about 0.23 V.
  • this baseline corresponds to a polarization of about 0.543 V (the maximum magnitude of polarization achieved in the first 300 seconds).
  • the polarization decreased to a magnitude of about 0.508 V, which corresponded to a decrease of about 0.035 V.
  • this represents a decrease of about 15% (0.035/0.23 15%).
  • FIG. 4 presents a graph illustrating the results of a long term polarization test performed to evaluate the polarization stability of the substrate-electrolyte interface.
  • Two different electrolytes were tested.
  • One electrolyte, labeled in FIG. 4 as the baseline case included an accelerator (HSL-A at 1 mL/L) and suppressor (HSL-B at 5 mL/L).
  • the other electrolyte, labeled in FIG. 4 as the 10 ppm BPS case included these same organic additives at the same concentrations, but also included 10 ppm BPS as a polarization stabilizer.
  • the substrate plated in each case was a flat coupon having a copper seed layer thereon. The coupon did not include recessed features.
  • Plating occurred at a temperature of about 20° C., and the electrolyte was saturated with air (i.e., it was not degassed). Open circuit voltage conditions were applied for the first 30 seconds during and immediately after immersion, followed by plating at a current density of about 0.75 mA/cm 2 for a period of about 24 hours.
  • FIG. 4 shows the polarization results over the first 9 hours.
  • Antioxidants are molecules that inhibit the oxidation of other molecules. Antioxidants inhibit oxidation of other species by removing free radical intermediates and becoming oxidized themselves.
  • Oxygen dissolved in the electrolyte can oxidize Cu + compounds. Such reactions can produce reactive oxygen species such as superoxide radicals, hydrogen peroxide, and hydroxyl radicals.
  • the electrolyte is very sensitive to these reactive oxygen species, which can quickly act to degrade species within the electrolyte such as the organic plating additives.
  • Many of the polarization stabilizers disclosed herein are phenols or polyphenols. Phenols and polyphenols are known to behave as antioxidants and radical scavengers.
  • Polarization stabilizers that work as antioxidants may reduce the concentration of reactive oxygen species within the electrolyte, thereby preventing/slowing the degradation of accelerator and suppressor in the electrolyte and allowing the substrate-electrolyte interface to exhibit a more constant degree of polarization over time.
  • polarization stabilizers described herein may impact the solvation and agglomeration behavior of the suppressor in electrolyte, which may lead to enhanced suppression/increased polarization.
  • Suppressors are oftentimes surfactants, which may act by separating from the solution phase and forming a film on the surface of the substrate.
  • the polarization stabilizer may be interacting with the suppressor to stabilize this film and improve its surface coverage on the substrate.
  • FIG. 5 presents a graph illustrating current vs. time for substrates plated in two different electrolytes.
  • the substrates in this example were 5 mm platinum rotating disk electrodes that were pre-plated in a solution that included 10 mL/L accelerator (HSL-A). This accelerator-rich solution allowed the substrate to become fully accelerated before plating.
  • the baseline solution tested in FIG. 5 had the same composition as the baseline solution tested in FIG. 4 .
  • the substrates were plated at a constant voltage of about ⁇ 0.5 V vs. a mercury sulfate reference electrode (MSE). Plating occurred over a duration of about 10 minutes, with a substrate rotation speed of about 100 RPM.
  • MSE mercury sulfate reference electrode
  • BPS exhibits some leveling activity, and has greater leveling activity than the HSL-A and HSL-B additives alone.
  • BPS is not a traditional leveler.
  • most known levelers include one or more nitrogen, and/or other functional groups expected to lead to strong adsorption or complexation.
  • BPS is free of nitrogen atoms and does not include groups expected to exhibit strong adsorption or complexation.
  • FIGS. 6 and 7 relate to a two-part experiment performed to examine adsorption properties of BPS.
  • FIG. 6 relates to a pre-plating process performed in different electrolytes and
  • FIG. 7 relates to a plating process performed in a standard electrolyte.
  • FIG. 6 presents a graph showing polarization vs. time for a pre-plating process performed in various electrolytes.
  • the pre-plating process was performed on 5 mm platinum rotating disk electrodes (RDEs) using several different electrolytes.
  • the pre-plating process involved plating copper onto the RDEs.
  • VMS virgin makeup solution
  • HSL-A accelerator line 603
  • BPS BPS polarization stabilizer
  • line 605 VMS with both HSL-B suppressor and BPS polarization stabilizer added
  • line 604 VMS with both HSL-B suppressor and BPS polarization stabilizer added
  • FIG. 7 presents a graph showing current vs. time for various substrates that have been pre-plated in different solutions.
  • the substrates had the same properties as described with relation to FIG. 6 .
  • the substrates were pre-plated in different electrolytes including: VMS only (line 701 ); VMS with 50 ppm BPS polarization stabilizer (line 702 ); VMS with 10 mL/L HSL-B suppressor (line 703 ); and VMS with 50 ppm BPS polarization stabilizer and 10 mL/L HSL-B suppressor (line 704 ).
  • the substrates were plated with copper in a standard electrolyte including accelerator (HSL-A at 1 mL/L) and suppressor (HSL-B at 5 mL/L).
  • the pre-plating solutions were sufficiently concentrated such that any additives present would be adsorbed to the substrate at a saturation level (if such adsorption occurs at all).
  • Plating occurred at a constant voltage of about ⁇ 0.5 V vs. a mercury sulfate reference electrode.
  • the substrates were rotated at about 100 RPM during plating, which lasted for a duration of about 10 minutes.
  • FIG. 7 shows that all of the substrates performed about equally with regard to current over time during plating.
  • FIG. 6 and FIG. 7 suggest that while BPS may adsorb onto a clean copper surface, such adsorption is relatively weak compared to HSL-B and BPS alone does not substantially change the state of the copper surface in the plating bath.
  • BPS does not act as a classical suppressor or leveler.
  • a classical suppressor or leveler would increase the polarization shown in FIG. 6 .
  • a weak suppressor might not affect the current results, but a strongly adsorbed suppressor or leveler would result in lingering impacts on the current (because a strongly adsorbed compound would decrease the current observed at a fixed potential).
  • FIG. 8 presents a flow chart of a method of electroplating according to various embodiments.
  • the method 800 begins at operation 801 , where a substrate is received in an electroplating chamber, the substrate including a plurality of recessed features (e.g., TSV features or other recessed features) a metal seed layer thereon.
  • the substrate may be subject to various cleaning and/or metal reducing treatments to remove metal oxides or otherwise prepare the surface for electrodeposition prior to operation 801 .
  • the substrate may be pre-wet before operation 801 , for example in a pre-wetting chamber or module, or in the same chamber in which electroplating occurs.
  • the substrate is immersed in electrolyte at operation 803 .
  • the substrate may be immersed at an angle to reduce the likelihood of trapping bubbles under the substrate surface.
  • metal is electroplated onto the substrate, including within the recessed features.
  • Organic plating additives present in the electrolyte may promote a bottom-up fill mechanism.
  • a polarization stabilizer present in the electrolyte may help maintain a relatively constant degree of polarization over the course of electroplating.
  • a controlled current may be applied to the substrate after immersion in the electrolyte.
  • the current used to plate a typical 300 mm wafer with recessed TSV features ranges from 0.1-5 A and is selected to provide optimum filling performance for a given TSV feature size.
  • the applied current may be increased one or more times during the plating process.
  • the substrate is removed from the electrolyte at operation 807 .
  • the substrate may be subject to a chemical mechanical polishing (CMP) step after plating.
  • CMP chemical mechanical polishing
  • a suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present invention.
  • the hardware may include one or more process stations included in a process tool.
  • FIG. 9 presents an example of an electroplating cell in which electroplating may occur.
  • an electroplating apparatus includes one or more electroplating cells in which the substrates (e.g., wafers) are processed. Only one electroplating cell is shown in FIG. 9 to preserve clarity.
  • additives e.g., accelerators and suppressors
  • an electrolyte with additives may react with the anode in undesirable ways. Therefore anodic and cathodic regions of the plating cell are sometimes separated by a membrane so that plating solutions of different composition may be used in each region.
  • Plating solution in the cathodic region is called catholyte; and in the anodic region, anolyte.
  • a number of engineering designs can be used in order to introduce anolyte and catholyte into the plating apparatus.
  • FIG. 9 a diagrammatical cross-sectional view of an electroplating apparatus 901 in accordance with one embodiment is shown.
  • the plating bath 903 contains the plating solution (having a composition as provided herein), which is shown at a level 905 .
  • the catholyte portion of this vessel is adapted for receiving substrates in a catholyte.
  • a wafer 907 is immersed into the plating solution and is held by, e.g., a “clamshell” substrate holder 909 , mounted on a rotatable spindle 911 , which allows rotation of clamshell substrate holder 909 together with the wafer 907 .
  • An anode 913 is disposed below the wafer within the plating bath 903 and is separated from the wafer region by a membrane 915 , preferably an ion selective membrane.
  • a membrane 915 preferably an ion selective membrane.
  • NafionTM cationic exchange membrane (CEM) may be used.
  • the region below the anodic membrane is often referred to as an “anode chamber.”
  • the ion-selective anode membrane 915 allows ionic communication between the anodic and cathodic regions of the plating cell, while preventing the particles generated at the anode from entering the proximity of the wafer and contaminating it.
  • the anode membrane is also useful in redistributing current flow during the plating process and thereby improving the plating uniformity.
  • Ion exchange membranes such as cationic exchange membranes are especially suitable for these applications.
  • These membranes are typically made of ionomeric materials, such as perfluorinated co-polymers containing sulfonic groups (e.g. NafionTM), sulfonated polyimides, and other materials known to those of skill in the art to be suitable for cation exchange.
  • Selected examples of suitable NafionTM membranes include N324 and N424 membranes available from Dupont de Nemours Co.
  • a vibration agitation or sonic agitation member may be used as well as wafer rotation.
  • a vibration transducer 908 may be attached to the clamshell substrate holder 909 .
  • the plating solution is continuously provided to plating bath 903 by the pump 917 .
  • the plating solution flows upwards through an anode membrane 915 and a diffuser plate 919 to the center of wafer 907 and then radially outward and across wafer 907 .
  • the plating solution also may be provided into anodic region of the bath from the side of the plating bath 903 .
  • the plating solution then overflows plating bath 903 to an overflow reservoir 921 .
  • the plating solution is then filtered (not shown) and returned to pump 917 completing the recirculation of the plating solution.
  • a distinct electrolyte is circulated through the portion of the plating cell in which the anode is contained while mixing with the main plating solution is prevented using sparingly permeable membranes or ion selective membranes.
  • a reference electrode 931 is located on the outside of the plating bath 903 in a separate chamber 933 , which chamber is replenished by overflow from the main plating bath 903 .
  • the reference electrode is positioned as close to the substrate surface as possible, and the reference electrode chamber is connected via a capillary tube or by another method, to the side of the wafer substrate or directly under the wafer substrate.
  • the apparatus further includes contact sense leads that connect to the wafer periphery and which are configured to sense the potential of the metal seed layer at the periphery of the wafer but do not carry any current to the wafer.
  • a reference electrode 931 is typically employed when electroplating at a controlled potential is desired.
  • the reference electrode 931 may be one of a variety of commonly used types such as mercury/mercury sulfate, silver chloride, saturated calomel, or copper metal.
  • a contact sense lead in direct contact with the wafer 907 may be used in some embodiments, in addition to the reference electrode, for more accurate potential measurement (not shown).
  • a DC power supply 935 can be used to control current flow to the wafer 907 .
  • the power supply 935 has a negative output lead 939 electrically connected to wafer 907 through one or more slip rings, brushes and contacts (not shown).
  • the positive output lead 941 of power supply 935 is electrically connected to an anode 913 located in plating bath 903 .
  • the power supply 935 , a reference electrode 931 , and a contact sense lead (not shown) can be connected to a system controller 947 , which allows, among other functions, modulation of current and potential provided to the elements of electroplating cell.
  • the controller may allow electroplating in potential-controlled and current-controlled regimes.
  • the controller may include program instructions specifying current and voltage levels that need to be applied to various elements of the plating cell, as well as times at which these levels need to be changed.
  • An inert anode 914 may be installed below the wafer 907 within the plating bath 903 and separated from the wafer region by the membrane 915 .
  • the apparatus may also include a heater 945 for maintaining the temperature of the plating solution at a specific level.
  • the plating solution may be used to transfer the heat to the other elements of the plating bath.
  • the heater 945 and the pump 917 may be turned on to circulate the plating solution through the electroplating apparatus 901 , until the temperature throughout the apparatus becomes substantially uniform.
  • the heater is connected to the system controller 947 .
  • the system controller 947 may be connected to a thermocouple to receive feedback of the plating solution temperature within the electroplating apparatus and determine the need for additional heating.
  • the controller will typically include one or more memory devices and one or more processors.
  • the processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • the controller controls all of the activities of the electroplating apparatus and/or of the pre-wetting chamber.
  • the controller may include instructions for performing pretreatment and electroplating in accordance with any method described above or in the appended claims.
  • Non-transitory machine-readable media containing instructions for controlling process operations in accordance with the present invention may be coupled to the system controller.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • the computer program code for controlling electroplating processes can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • Electrodeposition including substrate immersion, and other methods disclosed herein can be performed in components that form a larger electrodeposition apparatus.
  • FIG. 10 shows a schematic of a top view of an example electrodeposition apparatus.
  • the electrodeposition apparatus 1000 can include three separate electroplating modules 1002 , 1004 , and 1006 .
  • the electrodeposition apparatus 1000 can also include three separate modules 1012 , 1014 , and 1016 configured for various process operations.
  • one or more of modules 1012 , 1014 , and 1016 may be a spin rinse drying (SRD) module.
  • SRD spin rinse drying
  • one or more of the modules 1012 , 1014 , and 1016 may be post-electrofill modules (PEMs), each configured to perform a function, such as edge bevel removal, backside etching, and acid cleaning of substrates after they have been processed by one of the electroplating modules 1002 , 1004 , and 1006 .
  • PEMs post-electrofill modules
  • the electrodeposition apparatus 1000 includes a central electrodeposition chamber 1024 .
  • the central electrodeposition chamber 1024 is a chamber that holds the chemical solution used as the electroplating solution in the electroplating modules 1002 , 1004 , and 1006 .
  • the electrodeposition apparatus 1000 also includes a dosing system 1026 that may store and deliver additives for the electroplating solution.
  • a chemical dilution module 1022 may store and mix chemicals to be used as an etchant.
  • a filtration and pumping unit 1028 may filter the electroplating solution for the central electrodeposition chamber 1024 and pump it to the electroplating modules.
  • a system controller 1030 provides electronic and interface controls required to operate the electrodeposition apparatus 1000 .
  • the system controller 1030 (which may include one or more physical or logical controllers) controls some or all of the properties of the electroplating apparatus 1000 .
  • the system controller 1030 typically includes one or more memory devices and one or more processors.
  • the processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations as described herein may be executed on the processor. These instructions may be stored on the memory devices associated with the system controller 1030 or they may be provided over a network. In certain embodiments, the system controller 1030 executes system control software.
  • the system control software in the electrodeposition apparatus 1000 may include instructions for controlling the timing, mixture of electrolyte components (including the concentration of one or more electrolyte components), inlet pressure, plating cell pressure, plating cell temperature, substrate temperature, current and potential applied to the substrate and any other electrodes, substrate position, substrate rotation, and other parameters of a particular process performed by the electrodeposition apparatus 1000 .
  • the system control logic may also include instructions for electroplating under conditions that are tailored to be appropriate for the features being filled, including instructions to provide the various organic plating additives (e.g., one or more of accelerator, suppressor, leveler, and polarization stabilizer) at the concentrations disclosed herein.
  • System control logic may be configured in any suitable way.
  • process tool component sub-routines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes.
  • System control software may be coded in any suitable computer readable programming language.
  • the logic may also be implemented as hardware in a programmable logic device (e.g., an FPGA), an ASIC, or other appropriate vehicle.
  • system control logic includes input/output control (IOC) sequencing instructions for controlling the various parameters described above.
  • IOC input/output control
  • each phase of an electroplating process may include one or more instructions for execution by the system controller 1030 .
  • the instructions for setting process conditions for an immersion process phase may be included in a corresponding immersion recipe phase.
  • the electroplating recipe phases may be sequentially arranged, so that all instructions for an electroplating process phase are executed concurrently with that process phase.
  • control logic may be divided into various components such as programs or sections of programs in some embodiments.
  • logic components for this purpose include a substrate positioning component, an electrolyte composition control component, a pressure control component, a heater control component, and a potential/current power supply control component.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • parameters adjusted by the system controller 1030 may relate to process conditions.
  • process conditions include bath conditions (temperature, composition, and flow rate), substrate position (rotation rate, linear (vertical) speed, angle from horizontal) at various stages, etc.
  • bath conditions temperature, composition, and flow rate
  • substrate position rotation rate, linear (vertical) speed, angle from horizontal
  • parameters adjusted by the system controller 1030 may relate to process conditions.
  • bath conditions temperature, composition, and flow rate
  • substrate position rotation rate, linear (vertical) speed, angle from horizontal
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 1030 from various process tool sensors.
  • the signals for controlling the process may be output on the analog and digital output connections of the process tool.
  • process tool sensors include mass flow controllers, pressure sensors (such as manometers), thermocouples, optical position sensors, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • the instructions can include inserting the substrate in a wafer holder, tilting the substrate, biasing the substrate during immersion, and electrodepositing a copper containing structure on a substrate.
  • a hand-off tool 1040 may select a substrate from a substrate cassette such as the cassette 1042 or the cassette 1044 .
  • the cassettes 1042 or 1044 may be front opening unified pods (FOUPs).
  • a FOUP is an enclosure designed to hold substrates securely and safely in a controlled environment and to allow the substrates to be removed for processing or measurement by tools equipped with appropriate load ports and robotic handling systems.
  • the hand-off tool 1040 may hold the substrate using a vacuum attachment or some other attaching mechanism.
  • the hand-off tool 1040 may interface with a wafer handling station 1032 , the cassettes 1042 or 1044 , a transfer station 1050 , or an aligner 1048 . From the transfer station 1050 , a hand-off tool 1046 may gain access to the substrate.
  • the transfer station 1050 may be a slot or a position from and to which hand-off tools 1040 and 1046 may pass substrates without going through the aligner 1048 . In some embodiments, however, to ensure that a substrate is properly aligned on the hand-off tool 1046 for precision delivery to an electroplating module, the hand-off tool 1046 may align the substrate with an aligner 1048 .
  • the hand-off tool 1046 may also deliver a substrate to one of the electroplating modules 1002 , 1004 , or 1006 or to one of the three separate modules 1012 , 1014 , and 1016 configured for various process operations.
  • An example of a process operation according to the methods described above may proceed as follows: (1) electrodeposit copper onto a substrate to form a copper containing structure/layer in the electroplating module 1004 ; (2) rinse and dry the substrate in SRD in module 1012 ; and, (3) perform edge bevel removal in module 1014 .
  • the module 1012 can be configured as a spin rinse dryer and an edge bevel removal chamber. With such a module 1012 , the substrate would only need to be transported between the electroplating module 1004 and the module 1012 for the copper plating and EBR operations.
  • the methods described herein will be implemented in a system which comprises an electroplating apparatus and a stepper.
  • FIG. 11 An alternative embodiment of an electrodeposition apparatus 1100 is schematically illustrated in FIG. 11 .
  • the electrodeposition apparatus 1100 has a set of electroplating cells 1107 , each containing an electroplating bath, in a paired or multiple “duet” configuration.
  • the electrodeposition apparatus 1100 may perform a variety of other electroplating related processes and sub-steps, such as spin-rinsing, spin-drying, metal and silicon wet etching, electroless deposition, pre-wetting and pre-chemical treating, reducing, annealing, photoresist stripping, and surface pre-activation, for example.
  • the electrodeposition apparatus 1100 is shown schematically looking top down in FIG.
  • the substrates 1106 that are to be electroplated are generally fed to the electrodeposition apparatus 1100 through a front end loading FOUP 1101 and, in this example, are brought from the FOUP to the main substrate processing area of the electrodeposition apparatus 1100 via a front-end robot 1102 that can retract and move a substrate 1106 driven by a spindle 1103 in multiple dimensions from one station to another of the accessible stations—two front-end accessible stations 1104 and also two front-end accessible stations 1108 are shown in this example.
  • the front-end accessible stations 1104 and 1108 may include, for example, pre-treatment stations, and spin rinse drying (SRD) stations. Lateral movement from side-to-side of the front-end robot 1102 is accomplished utilizing robot track 1102 a.
  • Each of the substrates 1106 may be held by a cup/cone assembly (not shown) driven by a spindle 1103 connected to a motor (not shown), and the motor may be attached to a mounting bracket 1109 . Also shown in this example are the four “duets” of electroplating cells 1107 , for a total of eight electroplating cells 1107 .
  • the electroplating cells 1107 may be used for electroplating copper for the copper containing structure and electroplating solder material for the solder structure.
  • a system controller (not shown) may be coupled to the electrodeposition apparatus 1100 to control some or all of the properties of the electrodeposition apparatus 1100 .
  • the system controller may be programmed or otherwise configured to execute instructions according to processes described earlier herein.
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • RF radio frequency
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g. a server
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • an ashable hard mask layer such as an amorphous carbon layer
  • another suitable hard mask such as an antireflective

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

Provided herein are methods and apparatus for electroplating metal into recessed features. The techniques described herein utilize a polarization stabilizer compound to increase the stability of polarization at the substrate-electrolyte interface over time. Polarization stabilizers minimize changes in polarization to achieve improved plating results for a single substrate, and more uniform plating results over the course of several substrates. Furthermore, the polarization stabilizers discussed herein may be used to extend the lifetime of a particular electrolyte, thereby reducing manufacturing costs.

Description

    BACKGROUND
  • In integrated circuit manufacturing, a conductive material, such as copper, is often deposited by electroplating onto a conductive seed layer to fill one or more recessed features on the wafer substrate. Electroplating is a method of choice for depositing metal into the vias and trenches of the wafer during damascene processing, and is also used to fill Through-Silicon Vias (TSVs), which are relatively large vertical electrical connections used in 3D integrated circuits and 3D packages. Electroplating may also be used to fill through resist WLP structures.
  • During electroplating, electrical contacts are made to the seed layer (typically at the periphery of the wafer), and the wafer is electrically biased to serve as a cathode. The wafer is brought into contact with an electroplating solution, which contains ions of metal to be plated, and an acid that provides sufficient conductivity to the electroplating solution. For example, typical electroplating solutions for electrodeposition of copper are acidic solutions containing copper sulfate and sulfuric acid or copper methanesulfonate and methanesulfonic acid. The plating solutions may also contain additives, known as accelerators, suppressors, and levelers that modulate electrodeposition rates on different surfaces of the substrate. These plating solutions often have a pH of less than about 1. Electroplating is typically conducted for an amount of time that is sufficient to fill the recessed features with metal. Then, the unwanted metal deposited on the field regions of the wafer is removed in a planarization operation, such as by a chemical mechanical polishing (CMP).
  • SUMMARY
  • Various embodiments herein relate to methods and apparatus for electroplating metal onto a semiconductor substrate. Certain other embodiments relate to electroplating solutions in which such electroplating methods may occur. In a number of implementations, an electroplating solution includes organic plating additives designed to promote bottom-up fill in recessed features on the substrate. In addition to common additives such as accelerator and suppressor, the electroplating solutions used herein may include an additional component referred to as a polarization stabilizer compound (often referred to more simply as a polarization stabilizer). The polarization stabilizer compound may help maintain the electrolyte-substrate interface at a more constant degree of polarization over the course of plating.
  • In one aspect of the disclosed embodiments, a method of electroplating copper on a workpiece is provided, the method including: (a) contacting a surface of the workpiece with an electroplating solution including copper ions, a suppressor, an accelerator, and a polarization stabilizer compound including a phenol group; and (b) applying a negative potential to the workpiece to thereby electroplate copper onto the surface of the workpiece, where electroplating occurs over a duration of at least about 10 minutes.
  • In certain embodiments, the polarization stabilizer compound may include two phenol groups. In some such cases, the polarization stabilizer compound is a bisphenol compound. The bisphenol compound may be a particular bisphenol compound in some cases, such as bisphenol A or bisphenol S. In various embodiments the polarization stabilizer compound may be an antioxidant. In a number of cases the polarization stabilizer compound contains no nitrogen atoms.
  • Applying the negative potential to the workpiece may produce a current density on the surface of the workpiece, for example at about 5 mA/cm2 or less, on average, over the course of electroplating. In these or other cases, the electroplating may be conducted for a duration of at least about 20 minutes, for example at least about 60 minutes.
  • In a number of cases the surface of the workpiece includes recessed features. Such recessed features may be through silicon vias.
  • As mentioned, the polarization stabilizer may help improve the stability of the polarization of the substrate-electrolyte interface. In some cases, the polarization of the workpiece-electroplating solution interface does not vary by more than about 10% for at least about 20 minutes during electroplating. In these or other cases, the polarization of the workpiece-electroplating solution interface does not vary by more than about 0.02 V for at least about 20 minutes during electroplating. The polarization stabilizer compound may provide leveling characteristics to the electroplating solution in some cases.
  • The electroplating solution may have particular characteristics. In some embodiments, copper ions may be present in the electroplating solution at a concentration between about 40-70 g/L. The electroplating solution may also include an acid, and may have a pH of about 2 or less.
  • The accelerator in certain embodiments may include at least one material selected from the group consisting of: dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and derivatives thereof. In these or other cases, the suppressor may include at least one material selected from the group consisting of: polyethylene oxide, polypropylene oxide, polyethylene glycol, and polypropylene glycol, any of which may optionally include S- and/or N-containing functional groups. In some cases, the electroplating solution does not include a leveler. The polarization stabilizer compound may be provided at a particular concentration. In some cases, the polarization stabilizer compound is provided at a concentration between about 0.1-100 ppm, for example between about 5-20 ppm, and in some cases about 10 ppm or less.
  • In another aspect of the disclosed embodiments, an apparatus for electroplating metal onto a semiconductor substrate is provided. The apparatus may include a plating chamber configured to contain an electrolyte and an anode; a substrate holder configured to hold the substrate; and a controller having instructions to (i) provide the electrolyte in the plating chamber, the electrolyte being provided at a composition comprising an accelerator compound, a suppressor compound, and a polarization stabilizer compound, (ii) immerse the substrate in the electrolyte, and (iii) electroplate metal onto the substrate, wherein metal is electroplated onto the substrate for a duration of at least about 10 minutes.
  • In some implementations, the controller may have instructions to dose the polarization stabilizer compound into the electrolyte. Such instructions may include instructions to dose the polarization stabilizer compound into the electrolyte at a particular concentration. In some cases, this concentration may be between about 0.1-100 ppm, for example between about 5-20 ppm, or about 10 ppm or less.
  • In another aspect of the disclosed embodiments, an electroplating solution for electroplating copper metal onto a workpiece is provided, the electroplating solution including: an aqueous solution of copper ions, a suppressor, an accelerator, and a polarization stabilizer compound including two phenol groups.
  • In some implementations, the polarization stabilizer compound provides leveling characteristics to the electroplating solution. The polarization stabilizer compound may be a bisphenol compound. Example bisphenol compounds include, but are not limited to, bisphenol A and bisphenol S. The polarization stabilizer compound may be an antioxidant in various cases. The polarization stabilizer compound may be free of nitrogen atoms in some cases.
  • The electroplating solution may have copper ions present at a concentration between about 40-70 g/L in some embodiments. Further, the electroplating solution may include acid, and may have a pH of about 2 or less. In certain embodiments, the accelerator may include at least one material selected from the group consisting of: dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and derivatives thereof. In these or other embodiments, the suppressor may include at least one material selected from the group consisting of: polyethylene oxide, polypropylene oxide, polyethylene glycol, and polypropylene glycol, any of which may optionally include S- and/or N-containing functional groups. The electroplating solution may be free of leveler in some embodiments. In a number of cases, the polarization stabilizer compound may be provided at a concentration between about 0.1-100 ppm, for example between about 5-20 ppm, or at a concentration of about 10 ppm or less.
  • In another aspect of the disclosed embodiments, an electroplating solution for electroplating copper metal onto a workpiece is provided, the electroplating solution including: an aqueous solution of copper ions, a suppressor, an accelerator, and a polarization stabilizer compound, the polarization stabilizer compound being provided at a concentration between about 0.1-100 ppm.
  • In some implementations, the polarization stabilizer compound provides leveling characteristics to the electroplating solution. The polarization stabilizer compound may include two or more phenol groups, and in some cases may be a bisphenol compound. Example bisphenol compounds include, but are not limited to, bisphenol A and bisphenol S. The polarization stabilizer compound may be an antioxidant in various cases. The polarization stabilizer compound may be free of nitrogen atoms in some cases.
  • The electroplating solution may have copper ions present at a concentration between about 40-70 g/L in some embodiments. Further, the electroplating solution may include acid, and may have a pH of about 2 or less. In certain embodiments, the accelerator may include at least one material selected from the group consisting of: dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and derivatives thereof. In these or other embodiments, the suppressor may include at least one material selected from the group consisting of: polyethylene oxide, polypropylene oxide, polyethylene glycol, and polypropylene glycol, any of which may optionally include S- and/or N-containing functional groups. The electroplating solution may be free of leveler in some embodiments.
  • These and other features will be described below with reference to the associated drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates several compounds that may be used as polarization stabilizers according to certain embodiments.
  • FIG. 2 presents cross-sectional views of recessed features plated in electrolytes having differing concentrations of a polarization stabilizer.
  • FIG. 3 shows a graph illustrating the polarization vs. time during plating for the features/substrates shown in FIG. 2.
  • FIG. 4 is a graph showing the polarization vs. time for two different electrolytes, one of which included a polarization stabilizer.
  • FIG. 5 depicts a graph illustrating current vs. time for substrates plated in two different electrolytes, one of which included a polarization stabilizer.
  • FIG. 6 is a graph showing polarization vs. time for substrates in electrolytes of different compositions.
  • FIG. 7 is a graph showing current vs. time for a substrate plated in electrolytes of different compositions.
  • FIG. 8 is a flow chart for a method of electroplating according to various embodiments.
  • FIG. 9 illustrates a simplified cross-sectional view of an electroplating chamber.
  • FIG. 10 depicts a multi-tool apparatus for electroplating a substrate according to certain embodiments.
  • FIG. 11 depicts another embodiment of a multi-tool apparatus for electroplating.
  • DETAILED DESCRIPTION
  • In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. Further, the terms “electrolyte,” “plating bath,” “bath,” and “plating solution” are used interchangeably. The following detailed description assumes the embodiments are implemented on a wafer. However, the embodiments are not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the disclosed embodiments include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micro-mechanical devices and the like.
  • In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
  • Several references are incorporated by reference into the present specification. It should be understood that any disclaimers or disavowals made in such references, or during prosecution thereof, do not necessarily apply to the present embodiments.
  • In various embodiments herein, electroplating may occur in the context of filling a through-silicon-via (TSV) feature, though the embodiments are not so limited. In some cases, electroplating may occur in the context of forming a through resist wafer level packaging (WLP) structure. Compared to damascene features, TSV and WLP features are relatively large and take a long time to fill. The long fill time may be a result of the features' size/shape and related limitations on current density during electroplating. For example in TSV fill, relatively low currents may be used to prevent the formation of voids in the filled feature.
  • One issue that can arise during electroplating is stability of the electrolyte. Electrolyte stability is particularly important in cases where a feature is filled over a long time period, as is the case with TSV features and other large recessed features. It is generally beneficial for an electrolyte to have a relatively stable composition and relatively stable properties such as pH, conductivity, degree of polarization over the substrate-electrolyte interface, etc. In various embodiments herein, a polarization stabilizer may be used to help maintain polarization of the substrate-electrolyte interface at a more constant level over time. The polarization stabilizer may be included in the electrolyte along with other organic plating additives to promote high quality bottom-up fill results.
  • I. Properties of Substrate and Recessed Features
  • As noted above, in various embodiments the substrate being electroplated may include recessed features such as TSV features. A TSV is a vertical electrical connection that passes through a silicon wafer or die. TSVs are used to fabricate 3D packages and 3D integrated circuits. Such features are typically filled with copper. The disclosed embodiments may also be useful in electroplating metal into other types of features. Relatively large features that are similarly sized and/or shaped to the TSV features described herein may particularly benefit from the disclosed embodiments. Although various details herein relate to TSVs, it is understood that such details may apply to other types of recessed features, as well.
  • In some cases, TSV features may have a diameter between about 0.2-20 μm, or between about 2-10 μm. In one example, TSV features are on the order of about 6 μm in diameter. The TSV features may have a depth between about 5-200 μm, or between about 30-100 μm. In one example, TSV features are on the order of about 60 μm deep.
  • TSV features can have relatively high aspect ratios, defined as a ratio between the depth of the feature to the critical dimension of a feature (often the diameter). For example, a recessed cylinder having a depth of 60 μm and a diameter of 6 μm has an aspect ratio of 10:1, which may be reported more simply as 10. In certain embodiments, a TSV feature may have an aspect ratio between about 2:1 and about 30:1, for example between about 5:1 and about 20:1. The relatively high aspect ratio makes these features challenging to fill. In particular, it can be difficult to fill such features without forming any voids or seams in the fill material. One technique for minimizing the risk that voids will form is to electroplate at a relatively low current density (e.g., less than about 2 mA/cm2 in some cases). Typical fill times for TSV features may range between about 10-120 minutes, for example between about 20-100 minutes. In a number of cases, electroplating occurs for a duration of at least about 10 minutes, for example at least about 20 minutes, at least about 30 minutes, at least about 45 minutes, or at least about 60 minutes.
  • These long fill times, coupled with electrolyte instability, make it challenging to achieve uniform, high quality electroplating results over the course of a batch of substrates. One aspect of electrolyte stability that is particularly challenging to address is the degree of polarization of the substrate-electrolyte interface. Typical electrolytes used for plating copper result in decreased polarization over time. Such changes in polarization can deleteriously impact plating results on a single substrate (e.g., leading to underfilling or void formation), and can lead to substantial non-uniformities between different substrates processed at different times in a given electrolyte.
  • II. Electrolyte
  • Electrolytes used in electroplating copper into recessed features typically contain a copper salt, an acid, halide ions, an accelerator, a suppressor, and in some cases a leveler. The copper salt is the copper source for the deposition. Acid is generally used to control the conductivity of the plating bath. Halide ions may modulate the adsorption of certain organic additives (e.g., accelerator, suppressor and/or leveler) onto a substrate surface to encourage a bottom-up fill mechanism, described below.
  • Example copper salts include, but are not limited to, copper sulfate, copper methanesulfonate, copper pyrophosphate, copper propanesulfonate, etc. In some cases, copper salts may be provided to the electrolyte to achieve a copper concentration between about 30-80 g/L, for example between about 40-70 g/L. As used herein, the concentration of copper ions reflects the concentration (mass per volume) of copper cations, and does not include the mass of any anions associated with the copper cations.
  • Example acids include, but are not limited to, sulfuric acid and methanesulfonic acid. In some embodiments, the concentration of acid in the electrolyte may be between about 0.1-120 g/L, for example between about 30-90 g/L. As used herein, the concentration of acid reflects the concentration (mass per volume) of the entire acid molecule, not the mass of hydrogen cations alone. In certain embodiments, a pH of the electrolyte may be between about 0-4, for example less than about 2.
  • Example halide ions include, but are not limited to, chloride, bromide, and combinations thereof. In certain embodiments, the concentration of halide ions may be between about 10-100 ppm, for example between about 40-60 ppm.
  • A. Conventional Organic Additives Promoting Bottom-Up Fill
  • Where recessed features are electroplated, it is often desirable for the fill to occur according to a bottom-up mechanism. This fill mechanism can help ensure that the feature is completely filled from the bottom upwards, without the formation of voids or seams that would affect the integrity of the semiconductor device. In order to achieve bottom-up fill, particular organic additives may be included in the electrolyte.
  • 1. Suppressors
  • While not wishing to be bound to any theory or mechanism of action, it is believed that suppressors (either alone or in combination with other bath additives) are surface-kinetic limiting (or polarizing) compounds that lead to a significant increase in the voltage drop across the substrate-electrolyte interface, especially when present in combination with a surface adsorbing halide (e.g., chloride or bromide). The halide may act as a chemisorbed-bridge between the suppressor molecules and the wafer surface. The suppressor both (1) increases the local polarization of the substrate surface at regions where the suppressor is present relative to regions where the suppressor is absent, and (2) increases the polarization of the substrate surface generally. The increased polarization (local and/or general) corresponds to increased resistivity/impedance and therefore slower plating at a particular applied potential.
  • It is believed that suppressors are not significantly incorporated into the deposited film, though they may slowly degrade over time by electrolysis or chemical decomposition in the bath. Suppressors are often relatively large molecules, and in many instances they are polymeric in nature (e.g., polyethylene oxide, polypropylene oxide, polyethylene glycol, polypropylene glycol, etc). Other examples of suppressors include polyethylene and polypropylene oxides with S- and/or N-containing functional groups, block polymers of polyethylene oxide and polypropylene oxides, etc. The suppressors can have linear chain structures or branch structures or both. It is common that suppressor molecules with various molecular weights co-exist in a commercial suppressor solution. Due in part to suppressors' large size, the diffusion of these compounds into a recessed feature can be relatively slow compared to other bath components.
  • Suppressors are typically present at dilute concentrations in the electrolyte, for example between about 50-500 ppm, or between about 100-200 ppm.
  • 2. Accelerators
  • While not wishing to be bound by any theory or mechanism of action, it is believed that accelerators (either alone or in combination with other bath additives) tend to locally reduce the polarization effect associated with the presence of suppressors, and thereby locally increase the electrodeposition rate. Accelerators may act by stabilizing metal ion intermediates (e.g., Cu+) formed during the plating process. The reduced polarization effect is most pronounced in regions where the adsorbed accelerator is most concentrated (i.e., the polarization is reduced as a function of the local surface concentration of adsorbed accelerator). Example accelerators include, but are not limited to, dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and their derivatives. Although the accelerator may become strongly adsorbed to the substrate surface and generally laterally-surface immobile as a result of the plating reactions, the accelerator is generally not significantly incorporated into the film. Thus, the accelerator remains on the surface as metal is deposited. As a recess is filled, the local accelerator concentration increases on the surface within the recess. Accelerators tend to be smaller molecules and exhibit faster diffusion into recessed features, as compared to suppressors.
  • Accelerators are typically present at dilute concentrations, for example in the range of about 1-10 ppm in some embodiments. In cases where an accelerator builds up on the field region of a substrate, the substrate-electrolyte interface polarization may decrease in this region and the recessed feature may be underfilled.
  • 3. Levelers
  • While not wishing to be bound by any theory or mechanism of action, it is believed that levelers (either alone or in combination with other bath additives) act as suppressing agents, in some cases to counteract the depolarization effect associated with accelerators, especially in exposed portions of a substrate, such the field region of a wafer being processed, and at the side walls of a feature.
  • The leveler may locally increase the polarization/surface resistance of the substrate, thereby slowing the local electrodeposition reaction in regions where the leveler is present. The local concentration of levelers is determined to some degree by mass transport. Therefore levelers act principally on surface structures having geometries that protrude away from the surface. This action “smooths” the surface of the electrodeposited layer. It is believed that in many cases the leveler reacts or is consumed at the substrate surface at a rate that is at or near a diffusion limited rate, and therefore, a continuous supply of leveler is often beneficial in maintaining uniform plating conditions over time.
  • Leveler compounds are generally classified as levelers based on their electrochemical function and impact and do not require specific chemical structure or formulation. However, levelers often contain one or more nitrogen, amine, imide or imidazole, and may also contain sulfur functional groups. Certain levelers include one or more five and six member rings and/or conjugated organic compound derivatives. Nitrogen groups may form part of the ring structure. In amine-containing levelers, the amines may be primary, secondary, tertiary, or quaternary alkyl or aryl amines. Furthermore, the amine may be an aryl amine or a heterocyclic amine. Example amines include, but are not limited to, dialkylamines, trialkylamines, arylalkylamines, triazoles, imidazole, triazole, tetrazole, benzimidazole, benzotriazole, piperidine, morpholines, piperazine, pyridine, oxazole, benzoxazole, pyrimidine, quonoline, and isoquinoline. Imidazole and pyridine may be especially useful. Another example of a leveler includes Janus Green B. Leveler compounds may also include ethoxide groups. For example, the leveler may include a general backbone similar to that found in polyethylene glycol or polyethyelene oxide, with fragments of amine functionally inserted over the chain (e.g., Janus Green B). Example epoxides include, but are not limited to, epihalohydrins such as epichlorohydrin and epibromohydrin, and polyepoxide compounds. Polyepoxide compounds having two or more epoxide moieties joined together by an ether-containing linkage may be especially useful. Some leveler compounds are polymeric, while others are not. Example polymeric leveler compounds include, but are not limited to, polyethylenimine, polyamidoamines, quaternized poly(vinylpyridine), and reaction products of an amine with various oxygen epoxides or sulfides. One example of a non-polymeric leveler is 6-mercapto-hexanol. Another example leveler is polyvinylpyrrolidone (PVP). When used, a leveler may be provided at a relatively low concentration, for example between about 0.1-10 ppm.
  • In some embodiments, a polarization stabilizer may also exhibit some leveling properties/characteristics. For example, addition of a polarization stabilizer may lead to an increase in polarization over time if the plated sample has been pretreated with an accelerator solution before plating to saturate the surface with adsorbed accelerator. This response suggests that the polarization stabilizer has the ability to deactivate an accelerator. In these or other cases, the electrolyte may exclude compounds traditionally identified as levelers. In certain embodiments, an additive provided as an accelerator or suppressor (e.g., HSL-A and/or HSL-B, discussed further below) may also have some intrinsic leveling characteristics.
  • 4. Bottom-Up Fill
  • In the bottom-up fill mechanism, a recessed feature on a plating surface tends to be plated with metal from the bottom to the top of the feature. It is important to control the deposition rate within the feature and in the field region in order to achieve uniform filling and avoid incorporating voids into the features. The three types of additives described above are beneficial in accomplishing bottom-up fill, each working to selectively increase or decrease the polarization at the substrate surface.
  • After the substrate is immersed in electrolyte, the suppressor adsorbs onto the surface of the substrate, especially in exposed regions such as the field region. At the initial plating stages, there is a substantial differential in suppressor concentration between the top and bottom of a recessed feature. This differential is present due to the relatively large size of the suppressor molecule and its correspondingly slow transport properties. Over this same initial plating time, it is believed that accelerator accumulates at a low, substantially uniform concentration over the entire plating surface, including the bottom and side walls of the feature. Because the accelerator diffuses into features more rapidly than the suppressor, the initial ratio of accelerator:suppressor within the feature (especially at the feature bottom) is relatively high. The relatively high initial accelerator:suppressor ratio within the feature promotes rapid plating from the bottom of the feature upward. Meanwhile, the initial plating rate in the field region is relatively low due to the lower ratio of accelerator:suppressor. Thus, in the initial plating stages, plating occurs relatively faster within the feature and relatively slower in the field region.
  • As plating continues, the feature fills with metal and the surface area within the feature is reduced. Because of the decreasing surface area and the accelerator substantially remaining on the surface, the local surface concentration of accelerator within the feature increases as plating continues. This increased accelerator concentration within the feature helps maintain the differential plating rate beneficial for bottom-up fill.
  • In the later stages of plating, particularly as overburden deposits, the accelerator may build up in certain regions (e.g., above filled features) undesirably, resulting in local faster-than-desired plating. Leveler may be used to counteract this effect. The surface concentration of leveler is greatest at exposed regions of a surface (i.e., not within recessed features) and where convection is greatest. It is believed that the leveler displaces accelerator, increases the local polarization and decreases the local plating rate at regions of the surface that would otherwise be plating at a rate greater than at other locations on the deposit. In other words, the leveler tends, at least in part, to reduce or remove the influence of an accelerating compound at the exposed regions of a surface, particularly at protruding structures. Without leveler, a feature may tend to overfill and produce a bump. Therefore, in the later stages of bottom-up fill plating, levelers are beneficial in producing a relatively flat deposit.
  • The use of suppressor, accelerator and leveler, in combination, may allow a feature to be filled without voids from the bottom-up while producing a relatively flat deposited surface. The exact identity/composition of the additive compounds are typically maintained as trade secrets by the additive suppliers, thus, information about the exact nature of these compounds is not publicly available. One supplier of organic additives is Moses Lake Industries of Moses Lake, Wash. In one embodiment, HSL-A may be used as an accelerator and HSL-B may be used as a suppressor, each of which may be obtained from Moses Lake Industries.
  • B. Polarization Stabilizer
  • As described above, the polarization of a substrate-electrolyte interface can change over time. Conventional electrolytes used to plate copper into TSV features exhibit decreased polarization over the course of a few hours. After a few hours, such electrolytes may no longer be useful for electroplating (e.g., depolarized electrolytes can result in incomplete feature fill, undesirable film roughness, and reduced reflectivity), and a new electrolyte solution may be prepared. Because TSV features often take on the order of 1 hour to fill, only a few substrates can be processed in a given conventional electrolyte. This results in substantial electrolyte costs. Further, polarization changes that occur over the course of processing a single substrate may deleteriously affect fill results on that substrate. For instance, increases or decreases in polarization can lead to features having voids, underfilled features, etc.
  • Another factor that makes electrolyte stability challenging in the context of filling TSV structures is the presence of a relatively high concentration of Cu+ ions compared to when plating occurs in the context of filling damascene features.
  • For instance, copper electroplating may occur according to the following reactions:

  • Cu+Cu2+
    Figure US20160355939A1-20161208-P00001
    2Cu+  (1)

  • Cu2+ +e →Cu  (2)

  • Cu+ +e →Cu   (3)
  • At open circuit potential and at low applied (reductive) potential, reaction (1) dominates. Further, reaction (3) occurs more quickly than reaction (2), such that as the plating rate increases, most of the Cu+ is consumed by reaction (3). By contrast, at lower plating rates, relatively more Cu+ may be present in the electrolyte.
  • Cu+ ions accelerate bath degradation, and the rate of degradation increases with the concentration of Cu+. Further, excessive concentrations of Cu+ ions can result in underfilled TSV features. Cu+ ions are formed as an intermediate between Cu2+ (provided in the electrolyte) and Cu (plated onto the substrate). The balance between Cu+ and Cu2+ in the electrolyte shifts as a function of polarization of the substrate-electrolyte interface. In particular, greater degrees of polarization result in relatively less Cu+ and relatively more Cu2+. Likewise, lower degrees of polarization result in relatively more Cu+ and relatively less Cu2+. The long plating times and low currents typically used for electroplating TSV features make it difficult to maintain bath stability, particularly with respect to polarization of the substrate-electrolyte interface. One factor which renders bath stability especially challenging in the TSV context is that Cu+ ions can over-accelerate the substrate surface, which decreases polarization, which leads to increased formation of Cu+ ions. This feedback loop can be difficult to control and results in a quickly degrading electrolyte.
  • As used herein, a polarization stabilizer is understood to be a compound that is used in an electroplating bath along with other additives such as suppressor and accelerator to thereby improve the stability of the substrate-electrolyte interface polarization over time. Polarization stabilizers may minimize and/or slow changes in polarization that occur over time. In many implementations, the substrate-electrolyte interface becomes quickly polarized once the substrate is immersed in electrolyte. The initial rapid increase in polarization is shown in FIG. 3, for example, which is discussed further below. The initial increase in polarization may occur over a relatively short time period. After the initial increase in polarization, it is beneficial for the degree of polarization to remain relatively stable. Depending on the composition of the electrolyte, however, the degree of polarization may increase or decrease over time after the initial rapid increase in polarization.
  • In various embodiments, addition of a polarization stabilizer may be used to achieve a more stable degree of polarization throughout a plating process and for the lifetime of the electrolyte. The degree of polarization stability may be evaluated based on a baseline polarization achieved after the initial rapid polarization increase experienced upon immersion of a substrate. The baseline polarization is defined for each substrate/plating process to be the maximum magnitude of polarization achieved within the first 300 s after current is applied to the substrate, measured with respect to the open-circuit potential (OCP) of the substrate in the electrolyte.
  • After the baseline polarization is achieved, the degree of polarization may remain relatively constant where the electrolyte includes a polarization stabilizer. In certain embodiments, the degree of polarization does not vary by more than about 5%, for example no more than about 10%, over the course of plating a single substrate. This may correspond to a change in polarization that is less than about 0.01 V over the course of plating a single substrate. By contrast, where no polarization stabilizer is included in the electrolyte, the polarization typically changes to a greater degree, for example about 0.03 V. Although such changes in polarization are relatively small, the inclusion of a polarization stabilizer increases the stability of electrolyte polarization by a factor of about 3, representing a substantial improvement.
  • Another way to evaluate the effectiveness of a polarization stabilizer is by examining the degree of polarization over a particular time period. The effectiveness of the polarization stabilizer becomes more apparent when the duration of the plating experiment is extended, for example to 4 hours or more. In some cases this time may be longer than is typically used to fully plate a substrate in order to more fully evaluate the effectiveness of a polarization stabilizer or to evaluate the quality of the plating bath. In some embodiments, the degree of polarization does not vary by more than about 20%, for example no more than about 15%, over a duration of at least about 4 hours. This may correspond to a change in polarization that is less than about 0.04 V over this time period. By contrast, a similar electrolyte that does not include a polarization stabilizer exhibits about a 0.085 V change in polarization over 4 hours, representing a loss of about 38% in the degree of polarization. In some embodiments, the degree of polarization does not vary by more than about 25%, for example no more than about 20%, over a duration of at least about 8 hours. This may correspond to a change in polarization that is less than about 0.05 V over this time period. By contrast, a similar electrolyte that does not include a polarization stabilizer exhibits about a 0.102 V decrease in polarization over 8 hours, representing a decrease of about 46% in the degree of polarization.
  • The degree of polarization may be evaluated on a freshly prepared bath or on a bath that has been in use for plating on substrates. In some implementations, polarization stability may be evaluated to determine whether a particular plating bath has reached the end of its lifetime (in which case a new plating bath may be prepared), or whether the plating bath can continue to be used to plate on substrates. In some embodiments the addition of a polarization stabilizer may extend the lifetime of the plating bath so that more substrates may be plated before bath replacement is required. In some embodiments where a bleed and feed system is used to maintain the quality of the plating bath, the addition of a polarization stabilizer may reduce the rate at which fresh electrolyte is dosed into the plating bath.
  • In one particular example where copper is electroplated into typical TSV features in an acid-containing electrolyte, the magnitude of the baseline polarization may be between about 0.1-0.4 V, for example between about 0.2-0.3 V (measured vs. the open circuit potential). When no polarization stabilizer is included in the electrolyte, the polarization may decrease by a substantial degree, for example by about 0.03 V, or about 15%. When a polarization stabilizer is included in the electrolyte, the polarization may be much more stable over time, for example changing by no more than about 0.01 V, or about 5%, over the course of plating a single substrate.
  • Certain compounds and classes of compounds have been identified as promising electrolyte polarization stabilizers. Polarization stabilizers help maintain the substrate-electrolyte interface at a more constant degree of polarization over time. In some cases, a polarization stabilizer may include one or more hydroxyl groups, one or more phenol groups, one or more sulfone groups, one or more ketone groups, and/or one or more alkyl groups. Without being bound by theory or mechanism of action, it is believed that compounds having free —OH groups (in certain cases provided as a phenol) are well suited to act as polarization stabilizers.
  • In certain implementations, the polarization stabilizer may be a bisphenol compound. Particular examples may include bisphenol A (2,2-Bis(4-hydroxyphenyl)propan), bisphenol AP (1,1-Bis(4-hydroxyphenyl)-1-phenyl-ethane), bisphenol AF (2,2-Bis(4-hydroxyphenyl)hexafluoropropane), bisphenol B (2,2-Bis(4-hydroxyphenyl)butane), bisphenol BP (Bis-(4-hydroxyphenyl)diphenylmethane), bisphenol C (2,2-Bis(3-methyl-4-hydroxyphenyl)propane or Bis(4-hydroxyphenyl)-2,2-dichlorethylene), bisphenol E (1,1-Bis(4-hydroxyphenyl)ethane), bisphenol F (Bis(4-hydroxyphenyl)methane), bisphenol G (2,2-Bis(4-hydroxy-3-isopropyl-phenyl)propane), bisphenol M (1,3-Bis(2-(4-hydroxyphenyl)-2-propyl)benzene), bisphenol S (Bis(4-hydroxyphenyl)sulfone), bisphenol P (1,4-Bis(2-(4-hydroxyphenyl)-2-propyl)benzene), bisphenol PH (5,5′-(1-Methylethyliden)-bis[1,1′-(bisphenyl)-2-ol]propane), bisphenol TMC (1,1-Bis(4-hydroyphenyl)-3,3,5-trimethyl-cyclohexane), bisphenol Z (1,1-Bis(4-hydroxyphenyl)-cyclohexane), and 4,4′-thiodiphenol. The polarization stabilizer may also be a derivative of a bisphenol compound. In various cases, the polarization stabilizer may include non-polymerized phenol-containing monomers. The phenol monomers may include one or more free/non-functionalized —OH groups. In certain implementations, the polarization stabilizer may be benzophenone or a benzophenone derivative. In one example the polarization stabilizer may be a benzophenone analog where one or both of the benzene rings are modified to include a hydroxyl group. In various implementations, the polarization stabilizer may be free of quaternary nitrogen, and in some cases it may be free of any nitrogen. In a number of embodiments, the polarization stabilizer may be an antioxidant compound such as those based on phenolic acids, for example as gallic acid and its derivatives (including but not limited to propyl gallate and ethyl gallate, etc.). More than one polarization stabilizer compound may be present in an electrolyte.
  • During development of the embodiments herein, diphenylsulfone was also tested as a possible polarization stabilizer. However, this compound did not exhibit polarization stabilizing properties. By contrast, bisphenol S was tested (as described further below) and was shown to exhibit significant polarization stabilizing properties. The only structural difference between diphenylsulfone and BPS is the inclusion of —OH groups on the benzene rings. As such, it is believed that phenolic groups may be important with respect to designing/selecting a polarization stabilizer, at least in certain implementations.
  • FIG. 1 illustrates various compounds that may be used as polarization stabilizers in certain embodiments. FIG. 1 does not show all of the examples listed above, and is not intended to be limiting. The concentration of the polarization stabilizer in electrolyte may depend on the identity of the polarization stabilizer. In some embodiments, the polarization stabilizer may be provided at a concentration between about 0.1-100 ppm, or between about 5-20 ppm.
  • In certain implementations, the polarization stabilizer is bisphenol S (BPS). BPS has been shown to increase and stabilize the polarization of the substrate-electrolyte interface during copper electroplating. Where BPS is used, it may be provided at a concentration between about 1-10 ppm. At higher concentrations, e.g., about 25 ppm, BPS has been shown to result in increased polarization and formation of voids. It is possible that void formation may be mitigated through other means such as by applying a lower current density to the substrate during plating.
  • FIG. 2 presents cross-sectional views of recessed features plated on silicon coupons. The recessed features were vias having a diameter of about 6 μm and a depth of about 60 μm. The coupons were about 0.78 cm2 in area, and were attached to a rotating disk electrode. The coupons were plated in an electrolyte that included particular organic additives including 1 mL/L HSL-A (accelerator) and 5 mL/L HSL-B (suppressor). As noted above, HSL-A and HSL-B are available from Moses Lake Industries. The plating occurred at a temperature of about 20° C., and the electrolyte was saturated with air (in other words, it was not degassed). Plating occurred using a 2 second cold entry during which open circuit conditions were applied, followed by plating for 30 seconds at a current density of about 0.1 mA/cm2, followed by plating for 30 minutes at a current density of about 1.5 mA/cm2.
  • Notably, the fill results with 0-10 ppm BPS are acceptable, and the fill result with 25 ppm BPS includes unacceptable voids. Electrolytes with higher concentrations of BPS showed fill results similar to the 25 ppm case. Within the acceptable fill results, the feature plated with 0 ppm BPS was slightly underfilled, the feature plated with 1 ppm BPS was filled but not overfilled, and the feature plated with 10 ppm BPS showed very good overfill results, with the metal above the filled feature reaching about the same height as the metal plated on the field region.
  • FIG. 3 presents a graph illustrating polarization vs. time over the course of electroplating for the features shown in FIG. 2. The results show that inclusion of BPS can increase the polarization and polarization stability of the substrate-electrolyte interface. For instance, the 1 ppm BPS case shows a greater degree of polarization (more negative) than the 0 ppm case. Further, the degree of polarization changes less in the 1 ppm BPS case compared to the 0 ppm case. Similarly, the 10 ppm BPS case shows a higher degree of polarization than the 1 ppm BPS case. The 0 ppm and 1 ppm BPS cases both show a noticeably decreasing polarization (becoming less negative) over the course of plating (though the 1 ppm case does show improvement compared to the 0 ppm case).
  • The 10 ppm BPS case shows particularly good results, with a very stable polarization achieved after an initial drop during/immediately after immersion. The polarization is stable up until about 1100 seconds, at which point the feature was substantially filled. After this time, the polarization increased slightly (becoming more negative) and then stabilized to a new level. By contrast, the 25 ppm BPS case showed increasing polarization (becoming more negative) over the course of plating, and a higher degree of polarization throughout plating, suggesting that concentrations of BPS in this range can result in over-polarization under the plating conditions used. By maintaining a more constant polarization during plating, the fill results can be improved, as shown by the features in FIG. 2. More constant polarization may reduce the risk of underfilling a feature and/or the risk of forming a void in a feature.
  • For the substrates plated in FIG. 3, the baseline polarization measured with respect to the open-circuit potential of the substrate was on the order of about 0.23 V. More specifically, for the 0 ppm BPS case the baseline polarization was about 0.23 V, for the 1 ppm BPS case the baseline polarization was about 0.23 V, for the 10 ppm BPS case the baseline polarization was about 0.24 V, and for the 25 ppm case the baseline polarization was about 0.25 V. Over the course of the experiment, each electrolyte showed a different level of polarization change. Specifically, in the 0 ppm BPS case the polarization decreased by about 15%. In the 1 ppm BPS case the polarization decreased by about 11%. In the 10 ppm BPS case the polarization decreased by about 3%, then increased to a level that was about 4% higher than the baseline polarization. In the 25 ppm BPS case the polarization increased by about 14%.
  • To explain the calculations more clearly, one example is discussed in relation to the 0 ppm case. Here, the baseline polarization measured with respect to the open circuit potential of the substrate was about 0.23 V. In FIG. 3 this baseline corresponds to a polarization of about 0.543 V (the maximum magnitude of polarization achieved in the first 300 seconds). Over the course of the experiment, the polarization decreased to a magnitude of about 0.508 V, which corresponded to a decrease of about 0.035 V. Compared to the baseline polarization of 0.23 V (measured with respect to OCP, as stated), this represents a decrease of about 15% (0.035/0.23=15%).
  • FIG. 4 presents a graph illustrating the results of a long term polarization test performed to evaluate the polarization stability of the substrate-electrolyte interface. Two different electrolytes were tested. One electrolyte, labeled in FIG. 4 as the baseline case, included an accelerator (HSL-A at 1 mL/L) and suppressor (HSL-B at 5 mL/L). The other electrolyte, labeled in FIG. 4 as the 10 ppm BPS case, included these same organic additives at the same concentrations, but also included 10 ppm BPS as a polarization stabilizer. The substrate plated in each case was a flat coupon having a copper seed layer thereon. The coupon did not include recessed features. Plating occurred at a temperature of about 20° C., and the electrolyte was saturated with air (i.e., it was not degassed). Open circuit voltage conditions were applied for the first 30 seconds during and immediately after immersion, followed by plating at a current density of about 0.75 mA/cm2 for a period of about 24 hours. FIG. 4 shows the polarization results over the first 9 hours.
  • For the baseline case, polarization immediately and steeply decreases (becoming less negative). By contrast, where 10 ppm BPS was included, the decrease in polarization was much less steep, and occurred over a much longer time period. This represents a significant improvement in electrolyte stability.
  • Without wishing to be bound by theory or mechanism of action, it is believed that various polarization stabilizers described herein may function as antioxidants within the electrolyte. Antioxidants are molecules that inhibit the oxidation of other molecules. Antioxidants inhibit oxidation of other species by removing free radical intermediates and becoming oxidized themselves.
  • Oxygen dissolved in the electrolyte can oxidize Cu+ compounds. Such reactions can produce reactive oxygen species such as superoxide radicals, hydrogen peroxide, and hydroxyl radicals. The electrolyte is very sensitive to these reactive oxygen species, which can quickly act to degrade species within the electrolyte such as the organic plating additives. Many of the polarization stabilizers disclosed herein are phenols or polyphenols. Phenols and polyphenols are known to behave as antioxidants and radical scavengers. Polarization stabilizers that work as antioxidants may reduce the concentration of reactive oxygen species within the electrolyte, thereby preventing/slowing the degradation of accelerator and suppressor in the electrolyte and allowing the substrate-electrolyte interface to exhibit a more constant degree of polarization over time.
  • Again without wishing to be bound by theory or mechanism of action, it is believed that certain polarization stabilizers described herein may impact the solvation and agglomeration behavior of the suppressor in electrolyte, which may lead to enhanced suppression/increased polarization. Suppressors are oftentimes surfactants, which may act by separating from the solution phase and forming a film on the surface of the substrate. The polarization stabilizer may be interacting with the suppressor to stabilize this film and improve its surface coverage on the substrate.
  • FIG. 5 presents a graph illustrating current vs. time for substrates plated in two different electrolytes. The substrates in this example were 5 mm platinum rotating disk electrodes that were pre-plated in a solution that included 10 mL/L accelerator (HSL-A). This accelerator-rich solution allowed the substrate to become fully accelerated before plating. One electrolyte, labeled in FIG. 5 as the baseline case, included an accelerator (HSL-A at 1 mL/L) and a suppressor (HSL-B at 5 mL/L). The baseline solution tested in FIG. 5 had the same composition as the baseline solution tested in FIG. 4. The other electrolyte, labeled in FIG. 5 as the 50 ppm BPS case, included the same concentrations of the same accelerator and suppressor, but also included 50 ppm BPS as a polarization stabilizer. After the pre-acceleration step, the substrates were plated at a constant voltage of about −0.5 V vs. a mercury sulfate reference electrode (MSE). Plating occurred over a duration of about 10 minutes, with a substrate rotation speed of about 100 RPM.
  • With the baseline case, the current remained relatively constant over the course of plating. By contrast, where the electrolyte included BPS, the current decreased (became less negative) over time. These results suggest that BPS exhibits some leveling activity, and has greater leveling activity than the HSL-A and HSL-B additives alone. BPS, however, is not a traditional leveler. As described above, most known levelers include one or more nitrogen, and/or other functional groups expected to lead to strong adsorption or complexation. In contrast, BPS is free of nitrogen atoms and does not include groups expected to exhibit strong adsorption or complexation.
  • FIGS. 6 and 7 relate to a two-part experiment performed to examine adsorption properties of BPS. FIG. 6 relates to a pre-plating process performed in different electrolytes and FIG. 7 relates to a plating process performed in a standard electrolyte. In particular, FIG. 6 presents a graph showing polarization vs. time for a pre-plating process performed in various electrolytes. The pre-plating process was performed on 5 mm platinum rotating disk electrodes (RDEs) using several different electrolytes. The pre-plating process involved plating copper onto the RDEs. The different electrolytes tested included: virgin makeup solution (VMS) only (line 602); VMS with only HSL-A accelerator added (line 603); VMS with only BPS polarization stabilizer added (line 601); VMS with only HSL-B suppressor added (line 605); and VMS with both HSL-B suppressor and BPS polarization stabilizer added (line 604).
  • Notably, when BPS is provided by itself in VMS (line 601), it has little effect compared to the VMS only case. When the suppressor alone is provided (line 605), polarization is substantially higher (more negative). When suppressor and the BPS polarization stabilizer are provided together, the BPS appears to slow the ability of the suppressor to polarize the surface. At longer time frames, polarization differences between the suppressor only case (line 605) and the suppressor+BPS polarization stabilizer case (line 604) are minimized or eliminated.
  • FIG. 7 presents a graph showing current vs. time for various substrates that have been pre-plated in different solutions. The substrates had the same properties as described with relation to FIG. 6. The substrates were pre-plated in different electrolytes including: VMS only (line 701); VMS with 50 ppm BPS polarization stabilizer (line 702); VMS with 10 mL/L HSL-B suppressor (line 703); and VMS with 50 ppm BPS polarization stabilizer and 10 mL/L HSL-B suppressor (line 704). After the pre-plating process, the substrates were plated with copper in a standard electrolyte including accelerator (HSL-A at 1 mL/L) and suppressor (HSL-B at 5 mL/L). The pre-plating solutions were sufficiently concentrated such that any additives present would be adsorbed to the substrate at a saturation level (if such adsorption occurs at all). Plating occurred at a constant voltage of about −0.5 V vs. a mercury sulfate reference electrode. The substrates were rotated at about 100 RPM during plating, which lasted for a duration of about 10 minutes. FIG. 7 shows that all of the substrates performed about equally with regard to current over time during plating.
  • The results in FIG. 6 and FIG. 7 suggest that while BPS may adsorb onto a clean copper surface, such adsorption is relatively weak compared to HSL-B and BPS alone does not substantially change the state of the copper surface in the plating bath. In other words, BPS does not act as a classical suppressor or leveler. For example, a classical suppressor or leveler would increase the polarization shown in FIG. 6. With respect to FIG. 7, a weak suppressor might not affect the current results, but a strongly adsorbed suppressor or leveler would result in lingering impacts on the current (because a strongly adsorbed compound would decrease the current observed at a fixed potential). Instead, the results suggest that if BPS does adsorb, it is easily displaced by the HSL-B suppressor. Again without wishing to be bound by any theory or mechanism of action, it appears that the polarization stabilizing effects of BPS arise either through the neutralization of reactive byproducts formed during the plating process and/or through altering the solution behavior of the suppressor.
  • While the experimental results focus on the use of bisphenol S as a polarization stabilizer, the embodiments are not so limited. Many compounds listed above are expected to function in the same or similar fashion.
  • III. Electroplating Process
  • FIG. 8 presents a flow chart of a method of electroplating according to various embodiments. The method 800 begins at operation 801, where a substrate is received in an electroplating chamber, the substrate including a plurality of recessed features (e.g., TSV features or other recessed features) a metal seed layer thereon. The substrate may be subject to various cleaning and/or metal reducing treatments to remove metal oxides or otherwise prepare the surface for electrodeposition prior to operation 801. In some cases the substrate may be pre-wet before operation 801, for example in a pre-wetting chamber or module, or in the same chamber in which electroplating occurs. Next, the substrate is immersed in electrolyte at operation 803. Oftentimes, the substrate may be immersed at an angle to reduce the likelihood of trapping bubbles under the substrate surface. At operation 805, metal is electroplated onto the substrate, including within the recessed features. Organic plating additives present in the electrolyte may promote a bottom-up fill mechanism. A polarization stabilizer present in the electrolyte may help maintain a relatively constant degree of polarization over the course of electroplating.
  • In a typical embodiment a controlled current may be applied to the substrate after immersion in the electrolyte. The current used to plate a typical 300 mm wafer with recessed TSV features ranges from 0.1-5 A and is selected to provide optimum filling performance for a given TSV feature size. In some cases the applied current may be increased one or more times during the plating process.
  • Other current and voltage profiles may also be used in a number of embodiments.
  • After plating is complete, the substrate is removed from the electrolyte at operation 807. In many cases, the substrate may be subject to a chemical mechanical polishing (CMP) step after plating. The CMP step planarizes the substrate, leaving a very smooth and even surface.
  • Electroplating techniques are further discussed in the following U.S. Patent Applications, each of which is herein incorporated by reference in its entirety: U.S. patent application Ser. No. 14/085,262, filed Nov. 20, 2013, and titled “ALKALINE PRETREATMENT FOR ELECTROPLATING”; and U.S. patent application Ser. No. 14/685,512, filed Apr. 13, 2015, and titled “MONITORING ELECTROLYTES DURING ELECTROPLATING.”
  • IV. Apparatus
  • The methods described herein may be performed by any suitable apparatus. A suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present invention. For example, in some embodiments, the hardware may include one or more process stations included in a process tool.
  • FIG. 9 presents an example of an electroplating cell in which electroplating may occur. Often, an electroplating apparatus includes one or more electroplating cells in which the substrates (e.g., wafers) are processed. Only one electroplating cell is shown in FIG. 9 to preserve clarity. To optimize bottom-up electroplating, additives (e.g., accelerators and suppressors) are added to the electrolyte; however, an electrolyte with additives may react with the anode in undesirable ways. Therefore anodic and cathodic regions of the plating cell are sometimes separated by a membrane so that plating solutions of different composition may be used in each region. Plating solution in the cathodic region is called catholyte; and in the anodic region, anolyte. A number of engineering designs can be used in order to introduce anolyte and catholyte into the plating apparatus.
  • Referring to FIG. 9, a diagrammatical cross-sectional view of an electroplating apparatus 901 in accordance with one embodiment is shown. The plating bath 903 contains the plating solution (having a composition as provided herein), which is shown at a level 905. The catholyte portion of this vessel is adapted for receiving substrates in a catholyte. A wafer 907 is immersed into the plating solution and is held by, e.g., a “clamshell” substrate holder 909, mounted on a rotatable spindle 911, which allows rotation of clamshell substrate holder 909 together with the wafer 907. A general description of a clamshell-type plating apparatus having aspects suitable for use with this invention is described in detail in U.S. Pat. No. 6,156,167 issued to Patton et al., and U.S. Pat. No. 6,800,187 issued to Reid et al, which are incorporated herein by reference for all purposes.
  • An anode 913 is disposed below the wafer within the plating bath 903 and is separated from the wafer region by a membrane 915, preferably an ion selective membrane. For example, Nafion™ cationic exchange membrane (CEM) may be used. The region below the anodic membrane is often referred to as an “anode chamber.” The ion-selective anode membrane 915 allows ionic communication between the anodic and cathodic regions of the plating cell, while preventing the particles generated at the anode from entering the proximity of the wafer and contaminating it. The anode membrane is also useful in redistributing current flow during the plating process and thereby improving the plating uniformity. Detailed descriptions of suitable anodic membranes are provided in U.S. Pat. Nos. 6,126,798 and 6,569,299 issued to Reid et al., both incorporated herein by reference for all purposes. Ion exchange membranes, such as cationic exchange membranes are especially suitable for these applications. These membranes are typically made of ionomeric materials, such as perfluorinated co-polymers containing sulfonic groups (e.g. Nafion™), sulfonated polyimides, and other materials known to those of skill in the art to be suitable for cation exchange. Selected examples of suitable Nafion™ membranes include N324 and N424 membranes available from Dupont de Nemours Co.
  • During plating the ions from the plating solution are deposited on the substrate. The metal ions must diffuse through the diffusion boundary layer and into the TSV hole. A typical way to assist the diffusion is through convection flow of the electroplating solution provided by the pump 917. Additionally, a vibration agitation or sonic agitation member may be used as well as wafer rotation. For example, a vibration transducer 908 may be attached to the clamshell substrate holder 909.
  • The plating solution is continuously provided to plating bath 903 by the pump 917. Generally, the plating solution flows upwards through an anode membrane 915 and a diffuser plate 919 to the center of wafer 907 and then radially outward and across wafer 907. The plating solution also may be provided into anodic region of the bath from the side of the plating bath 903. The plating solution then overflows plating bath 903 to an overflow reservoir 921. The plating solution is then filtered (not shown) and returned to pump 917 completing the recirculation of the plating solution. In certain configurations of the plating cell, a distinct electrolyte is circulated through the portion of the plating cell in which the anode is contained while mixing with the main plating solution is prevented using sparingly permeable membranes or ion selective membranes.
  • A reference electrode 931 is located on the outside of the plating bath 903 in a separate chamber 933, which chamber is replenished by overflow from the main plating bath 903. Alternatively, in some embodiments the reference electrode is positioned as close to the substrate surface as possible, and the reference electrode chamber is connected via a capillary tube or by another method, to the side of the wafer substrate or directly under the wafer substrate. In some of the preferred embodiments, the apparatus further includes contact sense leads that connect to the wafer periphery and which are configured to sense the potential of the metal seed layer at the periphery of the wafer but do not carry any current to the wafer.
  • A reference electrode 931 is typically employed when electroplating at a controlled potential is desired. The reference electrode 931 may be one of a variety of commonly used types such as mercury/mercury sulfate, silver chloride, saturated calomel, or copper metal. A contact sense lead in direct contact with the wafer 907 may be used in some embodiments, in addition to the reference electrode, for more accurate potential measurement (not shown).
  • A DC power supply 935 can be used to control current flow to the wafer 907. The power supply 935 has a negative output lead 939 electrically connected to wafer 907 through one or more slip rings, brushes and contacts (not shown). The positive output lead 941 of power supply 935 is electrically connected to an anode 913 located in plating bath 903. The power supply 935, a reference electrode 931, and a contact sense lead (not shown) can be connected to a system controller 947, which allows, among other functions, modulation of current and potential provided to the elements of electroplating cell. For example, the controller may allow electroplating in potential-controlled and current-controlled regimes. The controller may include program instructions specifying current and voltage levels that need to be applied to various elements of the plating cell, as well as times at which these levels need to be changed. When forward current is applied, the power supply 935 biases the wafer 907 to have a negative potential relative to anode 913. This causes an electrical current to flow from anode 913 to the wafer 907, and an electrochemical reduction (e.g. Cu2++2e−=Cu0) occurs on the wafer surface (the cathode), which results in the deposition of the electrically conductive layer (e.g. copper) on the surfaces of the wafer. An inert anode 914 may be installed below the wafer 907 within the plating bath 903 and separated from the wafer region by the membrane 915.
  • The apparatus may also include a heater 945 for maintaining the temperature of the plating solution at a specific level. The plating solution may be used to transfer the heat to the other elements of the plating bath. For example, when a wafer 907 is loaded into the plating bath the heater 945 and the pump 917 may be turned on to circulate the plating solution through the electroplating apparatus 901, until the temperature throughout the apparatus becomes substantially uniform. In one embodiment the heater is connected to the system controller 947. The system controller 947 may be connected to a thermocouple to receive feedback of the plating solution temperature within the electroplating apparatus and determine the need for additional heating.
  • The controller will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. In certain embodiments, the controller controls all of the activities of the electroplating apparatus and/or of the pre-wetting chamber.
  • For example, the controller may include instructions for performing pretreatment and electroplating in accordance with any method described above or in the appended claims. Non-transitory machine-readable media containing instructions for controlling process operations in accordance with the present invention may be coupled to the system controller.
  • Typically there will be a user interface associated with controller 947. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • The computer program code for controlling electroplating processes can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • One example of a plating apparatus that may be used according to the embodiments herein is the Lam Research Sabre tool. Electrodeposition, including substrate immersion, and other methods disclosed herein can be performed in components that form a larger electrodeposition apparatus.
  • FIG. 10 shows a schematic of a top view of an example electrodeposition apparatus. The electrodeposition apparatus 1000 can include three separate electroplating modules 1002, 1004, and 1006. The electrodeposition apparatus 1000 can also include three separate modules 1012, 1014, and 1016 configured for various process operations. For example, in some embodiments, one or more of modules 1012, 1014, and 1016 may be a spin rinse drying (SRD) module. In other embodiments, one or more of the modules 1012, 1014, and 1016 may be post-electrofill modules (PEMs), each configured to perform a function, such as edge bevel removal, backside etching, and acid cleaning of substrates after they have been processed by one of the electroplating modules 1002, 1004, and 1006.
  • The electrodeposition apparatus 1000 includes a central electrodeposition chamber 1024. The central electrodeposition chamber 1024 is a chamber that holds the chemical solution used as the electroplating solution in the electroplating modules 1002, 1004, and 1006. The electrodeposition apparatus 1000 also includes a dosing system 1026 that may store and deliver additives for the electroplating solution. A chemical dilution module 1022 may store and mix chemicals to be used as an etchant. A filtration and pumping unit 1028 may filter the electroplating solution for the central electrodeposition chamber 1024 and pump it to the electroplating modules.
  • A system controller 1030 provides electronic and interface controls required to operate the electrodeposition apparatus 1000. The system controller 1030 (which may include one or more physical or logical controllers) controls some or all of the properties of the electroplating apparatus 1000. The system controller 1030 typically includes one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations as described herein may be executed on the processor. These instructions may be stored on the memory devices associated with the system controller 1030 or they may be provided over a network. In certain embodiments, the system controller 1030 executes system control software.
  • The system control software in the electrodeposition apparatus 1000 may include instructions for controlling the timing, mixture of electrolyte components (including the concentration of one or more electrolyte components), inlet pressure, plating cell pressure, plating cell temperature, substrate temperature, current and potential applied to the substrate and any other electrodes, substrate position, substrate rotation, and other parameters of a particular process performed by the electrodeposition apparatus 1000. The system control logic may also include instructions for electroplating under conditions that are tailored to be appropriate for the features being filled, including instructions to provide the various organic plating additives (e.g., one or more of accelerator, suppressor, leveler, and polarization stabilizer) at the concentrations disclosed herein. System control logic may be configured in any suitable way. For example, various process tool component sub-routines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable computer readable programming language. The logic may also be implemented as hardware in a programmable logic device (e.g., an FPGA), an ASIC, or other appropriate vehicle.
  • In some embodiments, system control logic includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of an electroplating process may include one or more instructions for execution by the system controller 1030. The instructions for setting process conditions for an immersion process phase may be included in a corresponding immersion recipe phase. In some embodiments, the electroplating recipe phases may be sequentially arranged, so that all instructions for an electroplating process phase are executed concurrently with that process phase.
  • The control logic may be divided into various components such as programs or sections of programs in some embodiments. Examples of logic components for this purpose include a substrate positioning component, an electrolyte composition control component, a pressure control component, a heater control component, and a potential/current power supply control component.
  • In some embodiments, there may be a user interface associated with the system controller 1030. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • In some embodiments, parameters adjusted by the system controller 1030 may relate to process conditions. Non-limiting examples include bath conditions (temperature, composition, and flow rate), substrate position (rotation rate, linear (vertical) speed, angle from horizontal) at various stages, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 1030 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of the process tool. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, optical position sensors, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • In one embodiment, the instructions can include inserting the substrate in a wafer holder, tilting the substrate, biasing the substrate during immersion, and electrodepositing a copper containing structure on a substrate.
  • A hand-off tool 1040 may select a substrate from a substrate cassette such as the cassette 1042 or the cassette 1044. The cassettes 1042 or 1044 may be front opening unified pods (FOUPs). A FOUP is an enclosure designed to hold substrates securely and safely in a controlled environment and to allow the substrates to be removed for processing or measurement by tools equipped with appropriate load ports and robotic handling systems. The hand-off tool 1040 may hold the substrate using a vacuum attachment or some other attaching mechanism.
  • The hand-off tool 1040 may interface with a wafer handling station 1032, the cassettes 1042 or 1044, a transfer station 1050, or an aligner 1048. From the transfer station 1050, a hand-off tool 1046 may gain access to the substrate. The transfer station 1050 may be a slot or a position from and to which hand- off tools 1040 and 1046 may pass substrates without going through the aligner 1048. In some embodiments, however, to ensure that a substrate is properly aligned on the hand-off tool 1046 for precision delivery to an electroplating module, the hand-off tool 1046 may align the substrate with an aligner 1048. The hand-off tool 1046 may also deliver a substrate to one of the electroplating modules 1002, 1004, or 1006 or to one of the three separate modules 1012, 1014, and 1016 configured for various process operations.
  • An example of a process operation according to the methods described above may proceed as follows: (1) electrodeposit copper onto a substrate to form a copper containing structure/layer in the electroplating module 1004; (2) rinse and dry the substrate in SRD in module 1012; and, (3) perform edge bevel removal in module 1014.
  • An apparatus configured to allow efficient cycling of substrates through sequential plating, rinsing, drying, and PEM process operations may be useful for implementations for use in a manufacturing environment. To accomplish this, the module 1012 can be configured as a spin rinse dryer and an edge bevel removal chamber. With such a module 1012, the substrate would only need to be transported between the electroplating module 1004 and the module 1012 for the copper plating and EBR operations.
  • In some embodiments the methods described herein will be implemented in a system which comprises an electroplating apparatus and a stepper.
  • An alternative embodiment of an electrodeposition apparatus 1100 is schematically illustrated in FIG. 11. In this embodiment, the electrodeposition apparatus 1100 has a set of electroplating cells 1107, each containing an electroplating bath, in a paired or multiple “duet” configuration. In addition to electroplating per se, the electrodeposition apparatus 1100 may perform a variety of other electroplating related processes and sub-steps, such as spin-rinsing, spin-drying, metal and silicon wet etching, electroless deposition, pre-wetting and pre-chemical treating, reducing, annealing, photoresist stripping, and surface pre-activation, for example. The electrodeposition apparatus 1100 is shown schematically looking top down in FIG. 11, and only a single level or “floor” is revealed in the figure, but it is to be readily understood by one having ordinary skill in the art that such an apparatus, e.g., the Novellus Sabre™ 3D tool, can have two or more levels “stacked” on top of each other, each potentially having identical or different types of processing stations.
  • Referring once again to FIG. 11, the substrates 1106 that are to be electroplated are generally fed to the electrodeposition apparatus 1100 through a front end loading FOUP 1101 and, in this example, are brought from the FOUP to the main substrate processing area of the electrodeposition apparatus 1100 via a front-end robot 1102 that can retract and move a substrate 1106 driven by a spindle 1103 in multiple dimensions from one station to another of the accessible stations—two front-end accessible stations 1104 and also two front-end accessible stations 1108 are shown in this example. The front-end accessible stations 1104 and 1108 may include, for example, pre-treatment stations, and spin rinse drying (SRD) stations. Lateral movement from side-to-side of the front-end robot 1102 is accomplished utilizing robot track 1102a. Each of the substrates 1106 may be held by a cup/cone assembly (not shown) driven by a spindle 1103 connected to a motor (not shown), and the motor may be attached to a mounting bracket 1109. Also shown in this example are the four “duets” of electroplating cells 1107, for a total of eight electroplating cells 1107. The electroplating cells 1107 may be used for electroplating copper for the copper containing structure and electroplating solder material for the solder structure. A system controller (not shown) may be coupled to the electrodeposition apparatus 1100 to control some or all of the properties of the electrodeposition apparatus 1100. The system controller may be programmed or otherwise configured to execute instructions according to processes described earlier herein.
  • System Controller
  • In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • The various hardware and method embodiments described above may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. In some embodiments, an ashable hard mask layer (such as an amorphous carbon layer) and another suitable hard mask (such as an antireflective layer) may be deposited prior to applying the photoresist.
  • It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. As such, various acts illustrated may be performed in the sequence illustrated, in other sequences, in parallel, or in some cases omitted. Likewise, the order of the above described processes may be changed.
  • The subject matter of the present disclosure includes all novel and nonobvious combinations and sub-combinations of the various processes, systems and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.

Claims (20)

What is claimed is:
1. A method of electroplating copper on a workpiece, the method comprising:
(a) contacting a surface of the workpiece with an electroplating solution comprising copper ions, a suppressor, an accelerator, and a polarization stabilizer compound comprising a phenol group; and
(b) applying a negative potential to the workpiece to thereby electroplate copper onto the surface of the workpiece, wherein electroplating occurs over a duration of at least about 10 minutes.
2. The method of claim 1, wherein the polarization stabilizer compound comprises two phenol groups.
3. The method of claim 2, wherein the polarization stabilizer compound is a bisphenol compound.
4. The method of claim 3, wherein the bisphenol compound is bisphenol A.
5. The method of claim 3, wherein the bisphenol compound is bisphenol S.
6. The method of claim 1, wherein the polarization stabilizer compound is an antioxidant.
7. The method of claim 1, wherein the polarization stabilizer compound contains no nitrogen atoms.
8. The method of claim 1, wherein applying the negative potential to the workpiece produces a current density on the surface of the workpiece of about 5 mA/cm2 or less, on average, over the course of the electroplating.
9. The method of claim 8, wherein the electroplating is conducted for a duration of at least about 20 minutes.
10. The method of claim 8, wherein the electroplating is conducted for a duration of at least about 60 minutes.
11. The method of claim 1, wherein the surface of the workpiece comprises recessed features.
12. The method of claim 11, wherein the recessed features are through silicon vias.
13. The method of claim 1, wherein during the electroplating, the polarization of the workpiece-electroplating solution interface does not vary by more than about 0.02 V for at least about 20 minutes.
14. The method of claim 1, wherein the polarization stabilizer compound provides leveling characteristics to the electroplating solution.
15. The method of claim 1, wherein the copper ions are present in the electroplating solution at a concentration of between about 40-70 g/L.
16. The method of claim 1, wherein the electroplating solution further comprises an acid, and wherein the electroplating solution has a pH of at most about 2.
17. The method of claim 1, wherein the accelerator comprises at least one material selected from the group consisting of: dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and derivatives thereof.
18. The method of claim 1, wherein the suppressor comprises at least one material selected from the group consisting of: polyethylene oxide, polypropylene oxide, polyethylene glycol, and polypropylene glycol, any of which may optionally include S- and/or N-containing functional groups.
19. The method of claim 1, wherein the electroplating solution does not contain a leveler.
20. The method of claim 1, wherein the polarization stabilizer compound is provided at a concentration of about 10 ppm or less.
US14/732,306 2015-06-05 2015-06-05 Polarization stabilizer additive for electroplating Abandoned US20160355939A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/732,306 US20160355939A1 (en) 2015-06-05 2015-06-05 Polarization stabilizer additive for electroplating

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/732,306 US20160355939A1 (en) 2015-06-05 2015-06-05 Polarization stabilizer additive for electroplating

Publications (1)

Publication Number Publication Date
US20160355939A1 true US20160355939A1 (en) 2016-12-08

Family

ID=57451722

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/732,306 Abandoned US20160355939A1 (en) 2015-06-05 2015-06-05 Polarization stabilizer additive for electroplating

Country Status (1)

Country Link
US (1) US20160355939A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109385650A (en) * 2017-08-09 2019-02-26 中南大学 The manufacturing method and its device of a kind of through-silicon via structure, through-silicon via structure
CN109853006A (en) * 2019-01-24 2019-06-07 电子科技大学 The additive formulations and electro-plating method of electro-coppering under the conditions of a kind of high temperature and high speed
US20200211952A1 (en) * 2017-09-29 2020-07-02 Intel Corporation Integrated circuit substrate and method of making
US11453953B2 (en) 2017-06-01 2022-09-27 Mitsubishi Materials Corporation High-purity electrolytic copper

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302278A (en) * 1993-02-19 1994-04-12 Learonal, Inc. Cyanide-free plating solutions for monovalent metals
US20040206631A1 (en) * 2001-10-02 2004-10-21 Shipley Company, L.L.C. Plating bath and method for depositing a metal layer on a substrate
US20050209117A1 (en) * 2002-06-19 2005-09-22 Basf Aktiengesellschaft Complexing agent for treating metallic and plastic surfaces
US20120175744A1 (en) * 2009-09-28 2012-07-12 Basf Se Copper electroplating composition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302278A (en) * 1993-02-19 1994-04-12 Learonal, Inc. Cyanide-free plating solutions for monovalent metals
US20040206631A1 (en) * 2001-10-02 2004-10-21 Shipley Company, L.L.C. Plating bath and method for depositing a metal layer on a substrate
US20050209117A1 (en) * 2002-06-19 2005-09-22 Basf Aktiengesellschaft Complexing agent for treating metallic and plastic surfaces
US20120175744A1 (en) * 2009-09-28 2012-07-12 Basf Se Copper electroplating composition

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11453953B2 (en) 2017-06-01 2022-09-27 Mitsubishi Materials Corporation High-purity electrolytic copper
US11753733B2 (en) * 2017-06-01 2023-09-12 Mitsubishi Materials Corporation Method for producing high-purity electrolytic copper
CN109385650A (en) * 2017-08-09 2019-02-26 中南大学 The manufacturing method and its device of a kind of through-silicon via structure, through-silicon via structure
US20200211952A1 (en) * 2017-09-29 2020-07-02 Intel Corporation Integrated circuit substrate and method of making
CN109853006A (en) * 2019-01-24 2019-06-07 电子科技大学 The additive formulations and electro-plating method of electro-coppering under the conditions of a kind of high temperature and high speed

Similar Documents

Publication Publication Date Title
KR102439386B1 (en) Process for optimizing cobalt electrofill using sacrificial oxidants
KR102546220B1 (en) Chemistry additives and process for cobalt film electrodeposition
US9617648B2 (en) Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
TWI656246B (en) Alkaline pretreatment for electroplating
KR102309859B1 (en) Bottom-up fill in damascene features
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
US20200279754A1 (en) Electro-oxidative metal removal in through mask interconnect fabrication
KR20110022571A (en) Process for through silicon via filling
CN111492096B (en) Convection optimization for mixed feature plating
US20160102416A1 (en) Low copper/high halide electroplating solutions for fill and defect control
US20220415710A1 (en) Interconnect structure with selective electroplated via fill
KR20200131909A (en) Copper electrofill on non-copper liner layers
US20160355939A1 (en) Polarization stabilizer additive for electroplating
US20220275531A1 (en) Differential contrast plating for advanced packaging applications
US8268155B1 (en) Copper electroplating solutions with halides
US10508351B2 (en) Layer-by-layer deposition using hydrogen
US20230026818A1 (en) Tsv process window and fill performance enhancement by long pulsing and ramping
US20220102209A1 (en) Electrodeposition of cobalt tungsten films
US11225727B2 (en) Control of current density in an electroplating apparatus
WO2023219987A1 (en) Water-based pretreatment for photoresist scum removal

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:THORUM, MATTHEW SHERMAN;REEL/FRAME:035796/0601

Effective date: 20150604

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION