US20160102416A1 - Low copper/high halide electroplating solutions for fill and defect control - Google Patents

Low copper/high halide electroplating solutions for fill and defect control Download PDF

Info

Publication number
US20160102416A1
US20160102416A1 US14/968,662 US201514968662A US2016102416A1 US 20160102416 A1 US20160102416 A1 US 20160102416A1 US 201514968662 A US201514968662 A US 201514968662A US 2016102416 A1 US2016102416 A1 US 2016102416A1
Authority
US
United States
Prior art keywords
electrolyte
copper
substrate
plating
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/968,662
Inventor
Jian Zhou
Jonathan David Reid
Erik A. Edelberg
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/753,333 external-priority patent/US10214826B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to US14/968,662 priority Critical patent/US20160102416A1/en
Assigned to NOVELLUS SYSTEMS, INC. reassignment NOVELLUS SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EDELBERG, ERIK A., REID, JONATHAN DAVID, ZHOU, JIAN
Publication of US20160102416A1 publication Critical patent/US20160102416A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/60Electroplating characterised by the structure or texture of the layers
    • C25D5/605Surface topography of the layers, e.g. rough, dendritic or nodular layers
    • C25D5/611Smooth layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation

Definitions

  • the present disclosure relates generally to copper electroplating of damascene interconnects, and more specifically, to a low-copper, low acid electrolyte having a high concentration of halide ions, and a method for using the electrolyte under conditions that enhance suppression of copper plating to promote void-free fill of submicron damascene features.
  • Electrolytes used in electroplating copper into damascene interconnects typically contain a copper salt, an acid, halide ions, an accelerator, a suppressor and a leveler.
  • the copper salt is the copper source for the deposition.
  • Acid is generally used to control the conductivity of the plating bath.
  • Halide ions may act as bridges to assist the adsorption of certain organic additives (e.g., accelerator, suppressor and/or leveler) onto a substrate surface to encourage a bottom-up fill mechanism, described herein.
  • Example copper salts include, but are not limited to, copper sulfate, copper methanesulfonate, copper pyrophosphate, copper propanesulfonate, etc.
  • concentration of copper ions reflects the concentration (mass per volume) of copper cations, and does not include the mass of any anions associated with the copper cations.
  • Example acids include, but are not limited to, sulfuric acid and methanesulfonic acid.
  • the concentration of acid reflects the concentration (mass per volume) of the entire acid molecule, not the mass of hydrogen cations alone.
  • Example halide ions include, but are not limited to, chloride, bromide, iodide, and combinations thereof.
  • One way to achieve such polarization is by providing a strong “suppressor” in the electrolyte.
  • FIG. 2 shows a copper wafer 201 plated in an electrolyte containing a strong suppressor. It is a high molecular weight suppressor with high ratio of hydrophobic propylene oxide versus hydrophilic ethylene oxide.
  • the cloud point of the electrolyte is 27° C., and plating occurred at 21° C.
  • the plated wafer contains visible streaks caused by non-uniform suppressor adsorption on the wafer due to suppressor agglomeration.
  • the use of some electrolytes may result in more subtle forms of such defects that are not detectable by visual inspection, but which are detectable through common defect metrology such as the AIT, SP1, or SP2 series of tools from KLA-Tencor of San Jose, Calif.
  • halide ion concentrations or change the halide ion composition Another technique for increasing the polarization of a substrate is to increase halide ion concentrations or change the halide ion composition.
  • This technique is further described in U.S. Pat. No. 8,268,155, incorporated by reference herein.
  • the halide may affect the suppressing effect of a suppressor or other additive.
  • the increase in overpotential that may be gained by changing halide ion concentration or composition is limited, and may not sufficient to provide the conditions needed for a uniform, reproducible fill of small 10-20 nm features.
  • the concentration of halide ions should be relatively low in the electrolyte in order to avoid incorporation of the halides into the plated films or the formation of center voids due to insufficient bottom-up fill by over-suppression of copper deposition.
  • Various embodiments herein relate to methods and apparatus for electroplating copper into damascene features, as well as electrolytes for such electroplating processes.
  • a particular electrolyte is used during electroplating.
  • the electrolyte may be acidic, may have a relatively low concentration of copper ions, and may have a relatively high concentration of chloride ions.
  • the electrolyte may also include organic additives such as suppressor, accelerator, and/or leveler.
  • the disclosed methods, apparatus, and electrolyte are particularly useful for filling small (e.g., in some cases 10-20 nm) features using bottom-up fill to produce high quality plating results.
  • a method of plating copper into damascene features including: receiving a substrate having a seed thickness of about 200 nanometers, on average, or thinner; electrically biasing the substrate while immersing the substrate in an aqueous low copper acid-containing electrolyte including (i) between about 4-10 grams per liter copper cations, (ii) between about 150-400 ppm chloride ions, and (iii) at least one suppressor compound, whereby the electrolyte induces a cathodic overpotential on the seed sufficient to protect the seed from dissolution by acid in the electrolyte during immersion; electroplating copper in a process including: (a) a first plating phase to fill the substrate features with copper via a bottom-up fill mechanism, where a first current density during the first plating phase is between about 0.5-10 mA/cm 2 , and (b) a second plating phase to deposit an overburden layer of copper on the substrate, where a second current density
  • the electrolyte may have a particular composition.
  • the electrolyte further includes about 2-15 grams per liter acid, in some cases between about 5-10 grams per liter acid.
  • the electrolyte may include between about 10-500 ppm active organic additives.
  • the active organic additives include one or more accelerator compound.
  • the concentration of the accelerator compound may less than about 100 ppm.
  • the suppressor compound may be a polymeric compound.
  • the active organic additives include one or more leveler compound.
  • the concentration of chloride ions may be between about 150-300 ppm in some cases, for example between about 200-300 ppm.
  • the pH of the electrolyte may be between about 0.2-2.
  • the substrate may include relatively small features that are to be filled with copper.
  • the substrate has at least some features with openings smaller than about 20 nanometers. In some such cases, all of the features on the substrate may have openings smaller than about 20 nm.
  • the electroplating may occur at a particular temperature.
  • the electrolyte has a cloud point of about 50° C. or higher, and electroplating occurs at a temperature that is at least about 20° C. lower than the cloud point of the electrolyte.
  • the second current density may be between about 10-15 mA/cm 2 in some embodiments.
  • the substrate may be a 300 mm or 450 mm semiconductor substrate in some cases.
  • a method of plating copper into damascene features including: receiving a substrate having a seed thickness of about 200 nanometers, on average, or thinner; electrically biasing the substrate while immersing the substrate in an aqueous low copper acid-containing electrolyte including (i) between about 4-10 grams per liter copper ions, (ii) between about 150-400 ppm chloride ions, (iii) at least one suppressor compound, and (iv) at least one accelerator compound, during the immersing, electroplating copper into the features by a bottom-up fill mechanism at a first current density, where electroplating occurs sufficiently slowly in the electrolyte at the first current density to allow the suppressor and accelerator to adsorb onto the seed and thereby enable bottom-up fill during immersion; and removing the substrate from the electrolyte.
  • the first current density may be between about 0.5-10 mA/cm 2 .
  • Electroplating copper into the features may be performed during a first plating phase.
  • the method may also include a second plating phase including electroplating copper to deposit an overburden layer of copper on the substrate at a second current density, where the second current density is higher than the first current density.
  • the electrolyte may further include between about 2-15 g/L acid. In some embodiments, the electrolyte includes between about 4-6 g/L copper, or between about 4-5 g/L copper.
  • an electrolyte including: between about 1 and 10 grams per liter copper cations; between about 2 and 15 grams per liter acid; between about 150-400 ppm chloride ions; one or more suppressor compound; one or more accelerator compound; and the electrolyte having a cloud point above 50° C.
  • the acid is sulfuric acid or methanesulfonic acid.
  • the chloride ions may be provided at a concentration between about 150-300 ppm, or between about 200-300 ppm.
  • the copper cations may be provided in a compound that dissociates into cations and anions, where the anions associated with the copper cations are the same species as anions formed from the acid.
  • the concentration of accelerator compound in the electrolyte may be about 100 ppm or less.
  • FIG. 1 shows 100 nm trenches plated at constant currents between 1 and 4 Amps, for a fixed amount of charge passed.
  • FIG. 2 shows a copper wafer that was plated at 21° C. in a plating bath containing a strong suppressor with a low cloud point of 27° C. Polymer agglomeration defects are visible.
  • FIG. 3 shows galvanic polarization results collected on copper coated platinum rotating disk electrodes in three copper sulfate solutions, both with and without organic additives.
  • the graphs illustrate increases in polarization resulting from decreases in copper ion concentration and acid concentration.
  • FIG. 4A is a graph demonstrating increased uniformity of fill fraction (and therefore fill rate) between upstream and downstream features on a substrate at low copper ion concentrations as opposed to high copper ion concentrations in electrolyte.
  • FIG. 4B is a graph showing current density over time for substrates plated in a variety of electrolytes having different halide ion concentrations.
  • FIG. 4C is a graph representing the polarization rate achieved for the various electrolytes tested in relation to FIG. 4B .
  • FIG. 4D presents data related to polarization vs. time for several different electroplating processes using electrolytes having differing concentrations of halide ions.
  • FIG. 4E is a graph depicting the steady state polarization achieved in the various electrolytes tested in relation to FIG. 4D .
  • FIG. 4F presents four graphs describing impurities in copper films deposited in various electrolytes having different concentrations of halide ions.
  • FIG. 4G summarizes the impurity data shown in FIG. 4F .
  • FIG. 4H illustrates several defect maps for substrates plated in a variety of electrolytes having different halide ion concentrations, using three different recipes.
  • FIGS. 5A and 5B are graphs describing the fill fraction for patterned substrates that were electroplated in a variety of electrolytes having different halide ion concentrations.
  • FIG. 6 is a graph showing the voided fraction within the features vs. the concentration of chloride ions in electrolyte for several substrates plated in different electrolytes.
  • FIG. 7 shows a flowchart of a method of electroplating copper according to the embodiments herein.
  • FIG. 8 shows the fill of 48 nm trenches plated in three electrolytes.
  • the left panel corresponds to a film plated in a high copper, high acid electrolyte
  • the middle panel corresponds to a film plated in a low copper, high acid electrolyte
  • the right panel corresponds to a film plated in a low copper, low acid electrolyte.
  • FIG. 9 shows a schematic of a top view of an example electrodeposition apparatus.
  • FIG. 10 shows a schematic of a top view of an alternative example electrodeposition apparatus.
  • the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably.
  • the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon.
  • the terms “electrolyte,” “plating bath,” “bath,” and “plating solution” are used interchangeably.
  • the term “low copper electrolyte” is understood to mean “electrolyte having a low concentration of copper ions.”
  • a low concentration of copper ions is understood to mean a concentration of less than about 10 g/L copper ions.
  • the following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited.
  • the work piece may be of various shapes, sizes, and materials.
  • other work pieces that may take advantage of this invention include various articles such as printed circuit boards and the like.
  • the embodiments herein utilize a low copper concentration electrolyte having a high concentration of halide ions to achieve a high overpotential plating environment.
  • the copper concentration for low copper electrolytes may be about 75% to 90% lower than the nominal mass/volume concentration used in conventional copper plating baths.
  • the copper ion concentration is less than about 10 g/L or less than about 5 g/L.
  • the copper ion concentration may be about 4 g/L or higher.
  • the copper ion concentration may be between about 4-10 g/L, or between about 4-5 g/L.
  • the electrolyte may have a halide ion concentration between about 150-300 ppm, for example between about 200-300 ppm. This halide ion concentration is higher than halide ion concentrations previously used in low copper electrolytes.
  • the high halide ion concentration can promote increased suppression (as well as an increased rate at which suppression takes effect), leading to higher quality bottom-up fill results. The role of halide ions is further discussed below.
  • Some embodiments also utilize a low acid concentration electrolyte to further increase the overpotential.
  • the electrolyte may be between about 2-15 g/L, between about 5-10 g/L, less than about 10 g/L, and/or less than about 5 g/L acid.
  • the increased overpotential provides various advantages such as allowing plating to occur at a slower rate, thereby making the deposition easier to control and resulting in a more uniform, more easily reproducible fill. By increasing the total amount of plating time, the influence of initial plating non-uniformities that occur during immersion become less important, and a more uniform fill results.
  • the copper electroplating solution includes organic bath additives to permit controlled high quality electrofill of recesses in a damascene substrate.
  • additives typically include a suppressor and an accelerator and possibly a leveler.
  • One role of the suppressor is to suppress electroplating and increase the surface polarization of the plating substrate.
  • suppressors are surface-kinetic polarizing compounds that lead to a significant increase in the voltage drop across the substrate-electrolyte interface, especially when present in combination with a surface chemisorbing halide (e.g., chloride or bromide).
  • a surface chemisorbing halide e.g., chloride or bromide.
  • the halide may act as a bridge between the suppressor molecules and the wafer surface.
  • concentration of halide ions is typically relatively low (e.g., often below 100 ppm, in various cases below 50 ppm).
  • This low halide concentration may promote formation of a relatively smoother film and/or minimize incorporation of impurities (e.g., halides or halide-containing compounds) in the film.
  • impurities e.g., halides or halide-containing compounds
  • higher concentrations of halide ions may be used to increase suppression without increasing film contamination.
  • the suppressor both (1) increases the local polarization of the substrate surface at regions where the suppressor is present relative to regions where the suppressor is absent, and (2) increases the polarization of the substrate surface generally.
  • the increased polarization corresponds to increased resistivity/impedance and therefore slower plating at a particular applied potential.
  • suppressors are not incorporated into the deposited film, though they may slowly degrade over time.
  • Suppressors are often relatively large molecules, and in many instances they are polymeric in nature (e.g., polyethylene oxide, polypropylene oxide, polyethylene glycol, polypropylene glycol, etc).
  • Other examples of suppressors include polyethylene and polypropylene oxides with S- and/or N-containing functional groups, block polymers of polyethylene oxide and polypropylene oxides, etc.
  • the suppressors can have linear chain structures or branch structures. It is common that suppressor molecules with various molecular weights co-exist in a commercial suppressor solution. Due in part to suppressors' large size, the diffusion of these compounds into a recessed feature is relatively slow.
  • accelerators tend to locally reduce the polarization effect associated with the presence of suppressors, and thereby locally increase the electrodeposition rate.
  • the reduced polarization effect is most pronounced in regions where the adsorbed accelerator is most concentrated (i.e., the polarization is reduced as a function of the local surface concentration of adsorbed accelerator).
  • Example accelerators include, but are not limited to, dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and their derivatives.
  • SPS bis-(3-sulfopropyl) disulfide
  • the accelerator may become strongly adsorbed to the substrate surface and generally laterally-surface immobile as a result of the plating reactions, the accelerator is generally not incorporated into the film. Thus, the accelerator remains on the surface as metal is deposited. As a recess is filled, the local accelerator concentration increases on the surface within the recess. Accelerators tend to be smaller molecules and exhibit faster diffusion into recessed features, as compared to suppressors.
  • levelers act as suppressing agents to counteract the depolarization effect associated with accelerators, especially in the field region and at the side walls of a feature.
  • the leveler may locally increase the polarization/surface resistance of the substrate, thereby slowing the local electrodeposition reaction in regions where the leveler is present.
  • the local concentration of levelers is determined to some degree by mass transport. Therefore levelers act principally on surface structures having geometries that protrude away from the surface. This action “smooths” the surface of the electrodeposited layer. It is believed that leveler reacts or is consumed at the substrate surface at a rate that is at or near a diffusion limited rate, and therefore, a continuous supply of leveler is often beneficial in maintaining uniform plating conditions over time.
  • Leveler compounds are generally classified as levelers based on their electrochemical function and impact and do not require specific chemical structure or formulation. However, levelers often contain one or more nitrogen, amine, imide or imidazole, and may also contain sulfur functional groups. Certain levelers include one or more five and six member rings and/or conjugated organic compound derivatives. Nitrogen groups may form part of the ring structure. In amine-containing levelers, the amines may be primary, secondary or tertiary alkyl amines. Furthermore, the amine may be an aryl amine or a heterocyclic amine.
  • Example amines include, but are not limited to, dialkylamines, trialkylamines, arylalkylamines, triazoles, imidazole, triazole, tetrazole, benzimidazole, benzotriazole, piperidine, morpholines, piperazine, pyridine, oxazole, benzoxazole, pyrimidine, quonoline, and isoquinoline. Imidazole and pyridine may be especially useful.
  • Leveler compounds may also include ethoxide groups.
  • the leveler may include a general backbone similar to that found in polyethylene glycol or polyethyelene oxide, with fragments of amine functionally inserted over the chain (e.g., Janus Green B).
  • Example epoxides include, but are not limited to, epihalohydrins such as epichlorohydrin and epibromohydrin, and polyepoxide compounds. Polyepoxide compounds having two or more epoxide moieties joined together by an ether-containing linkage may be especially useful. Some leveler compounds are polymeric, while others are not. Example polymeric leveler compounds include, but are not limited to, polyethylenimine, polyamidoamines, and reaction products of an amine with various oxygen epoxides or sulfides. One example of a non-polymeric leveler is 6-mercapto-hexanol. Another example leveler is polyvinylpyrrolidone (PVP).
  • PVP polyvinylpyrrolidone
  • a recessed feature on a plating surface tends to be plated with metal from the bottom to the top of the feature, and inward from the side walls towards the center of the feature. It is important to control the deposition rate within the feature and in the field region in order to achieve uniform filling and avoid incorporating voids into the features.
  • the three types of additives described above are beneficial in accomplishing bottom-up fill, each working to selectively increase or decrease the polarization at the substrate surface.
  • the suppressor After the substrate is immersed in electrolyte, the suppressor adsorbs onto the surface of the substrate, especially in exposed regions such as the field region.
  • the initial plating stages there is a substantial differential in suppressor concentration between the top and bottom of a recessed feature. This differential is present due to the relatively large size of the suppressor molecule and its correspondingly slow transport properties.
  • accelerator accumulates at a low, substantially uniform concentration over the entire plating surface, including the bottom and side walls of the feature. Because the accelerator diffuses into features more rapidly than the suppressor, the initial ratio of accelerator:suppressor within the feature (especially at the feature bottom) is relatively high.
  • the relatively high initial accelerator:suppressor ratio within the feature promotes rapid plating from the bottom of the feature upwards and from the sidewalls inwards. Meanwhile, the initial plating rate in the field region is relatively low due to the lower ratio of accelerator:suppressor. Thus, in the initial plating stages, plating occurs relatively faster within the feature and relatively slower in the field region.
  • the feature fills with metal and the surface area within the feature is reduced. Because of the decreasing surface area and the accelerator substantially remaining on the surface, the local surface concentration of accelerator within the feature increases as plating continues. This increased accelerator concentration within the feature helps maintain the differential plating rate beneficial for bottom-up fill.
  • the accelerator may build up in certain regions (e.g., above filled features) undesirably, resulting in local faster-than-desired plating.
  • Leveler may be used to counteract this effect.
  • the surface concentration of leveler is greatest at exposed regions of a surface (i.e., not within recessed features) and where convection is greatest. It is believed that the leveler displaces accelerator, increases the local polarization and decreases the local plating rate at regions of the surface that would otherwise be plating at a rate greater than at other locations on the deposit.
  • the leveler tends, at least in part, to reduce or remove the influence of an accelerating compound at the exposed regions of a surface, particularly at protruding structures. Without leveler, a feature may tend to overfill and produce a bump. Therefore, in the later stages of bottom-up fill plating, levelers are beneficial in producing a relatively flat deposit.
  • suppressor may allow a feature to be filled without voids from the bottom-up while producing a relatively flat deposited surface.
  • the exact identity/composition of the additive compounds are typically maintained as trade secrets by the additive suppliers, thus, information about the exact nature of these compounds is not publicly available.
  • Typical electroplating baths for filling damascene interconnects generally contain relatively high concentrations of copper cations (e.g., 40 g/L).
  • High copper concentrations were understood to be beneficial because higher copper concentrations result in higher limiting currents that may be used during plating. Higher currents were seen as beneficial because they increased the rate of electrodeposition and thereby decreased processing time.
  • concentration of copper is too low, the electrolyte may experience copper depletion, resulting in significant fill defects.
  • the mass transfer of copper to the plating surface is too low at a given current (e.g., when the concentration of copper is too low or when the electrolyte is insufficiently turbulent), there is insufficient copper at the plating surface to sustain the reduction reaction.
  • a parasitic reaction must occur to sustain the current delivered to the substrate.
  • the electrolyte itself may begin to decompose and generate gasses at the plating interface, resulting in significantly non-uniform plating and nodular growths on the substrate.
  • the immersion time is frequently a significant fraction of the total feature fill time in high copper electrolytes. Therefore, non-uniformities may be introduced as a consequence of the time difference between when the leading edge of a wafer contacts the electrolyte and when the trailing edge of the wafer contacts the electrolyte. Wafers are frequently immersed at an angle that deviates from horizontal in order to minimize bubble creation. In order to reduce non-uniformities introduced by the time it takes for the wafer to be fully immersed, it would be desirable to reduce the plating rate in recessed features, particularly during immersion.
  • Use of a low copper electrolyte increases the polarization of the seed layer, thereby protecting it from dissolution without requiring a strong electrical bias. As a consequence, the low copper electrolyte permits seed layer protection without rapid electroplating. Non-uniformities are reduced.
  • short feature filling timeframes required with high copper electrolytes may result in poor fill performance because the short timeframes are incompatible with the bottom-up fill mechanism during immersion, which requires some time for the organic additives to reach and adsorb onto the substrate surface at the relevant locations described above.
  • the bottom-up fill mechanism will be impaired and the feature fill will likely be poor.
  • bottom-up fill may be poor if the suppressor does not adsorb onto the field region of a feature sufficiently quickly.
  • One method of addressing this concern is to use a high concentration of accelerator and/or suppressor in the electrolyte.
  • the concentration of accelerator is about 100 ppm or less, for example about 20 ppm or less, or about 10 ppm or less.
  • the embodiments herein address the bottom-up fill timeframe issue by plating at a low copper ion concentration and high halide ion concentration. Electroplating can be accomplished using a low current density during bottom-up fill, thereby achieving a slower filling timeframe, especially for small features.
  • the longer filling timeframe permits the organic additives sufficient time to diffuse or otherwise travel to their proper locations, adsorb onto the substrate surface, and promote bottom-up fill during immersion and before substantial deposition occurs.
  • the high concentration of chloride ions increases the rate at which certain additives adsorb onto the substrate, thereby improving electroplating results (e.g., void formation).
  • FIG. 1 shows the partial fill of 100 nm trenches with a 400 A seed layer plated at constant currents between 1 and 4 Amps, for a fixed amount of charge passed. The trenches plated at the lower current are more filled because of increased bottom-up fill efficiency.
  • Typical electroplating baths and methods often cause the copper seed layer to dissolve/corrode to a certain degree when the substrate is first immersed in electrolyte. This dissolution may result in voids or other defects/non-uniformities in the deposit.
  • the seed dissolution issue is especially problematic for thin seed layers, as thin layers are more easily dissolved than thicker layers.
  • many of the features of the integrated circuits are being fabricated with smaller feature sizes and higher densities of components. As the industry moves towards smaller features, the seed layers must become thinner and thinner to avoid “pinching off” the top of the feature before the bottom of the feature can be properly filled.
  • copper seed layers on 2 X-nm node features may be as thin as or thinner than 50 ⁇ .
  • Technical challenges arise with smaller feature sizes in producing metal seed layers and metal interconnects substantially free of voids or defects.
  • One technique for protecting a copper seed layer is to increase the overpotential of the substrate-electrolyte interface.
  • the overpotential may be increased in a variety of ways.
  • suppressors tend to form visible agglomerations above a threshold temperature, referred to as the cloud point. These agglomerations result in significant deposition defects because they can adhere to the surface of the substrate.
  • the cloud point is the temperature at which the agglomerations become visible, it is believed that agglomerations begin forming at temperatures lower than the cloud point.
  • the deposition process should be run at a temperature that is well below (e.g., 20° C. or more below) the cloud point of a particular electrolyte. Stronger suppressors tend to have very low cloud points (e.g., 27° C.
  • suppressors may be referred to as “weak” or “strong,” it should be understood that these terms are relative and may vary over time. As the industry develops, stronger suppressors are created, and suppressors that used to be seen as “strong” may now be considered “moderate” or even “weak.”
  • the Excel suppressor available from Enthone may be considered a strong suppressor, while the Extreme Plus suppressor, also available from Enthone, may be considered a moderate suppressor.
  • FIG. 3 shows the overpotential of three electrolytes and demonstrates that the overpotential may be increased by using electrolytes with low copper and low acid concentrations.
  • the electrolytes do not include organic additives, while in the lower panel the organic additives (36 ppm or mg/L Excel accelerator and 200 ppm or mg/L Excel suppressor) are present.
  • the overpotential is measured by galvanostatic polarization between a copper coated platinum rotating disk electrode (RDE) and a Hg/HgSO 4 reference electrode. A thin layer of 0.66 ⁇ m copper is plated on a platinum electrode in an organic additive-free electrolyte. The electrode is then immersed in the bath to be tested with a copper sheet as the counter electrode.
  • the voltage between the copper coated platinum RDE and the reference electrode is monitored while the electrode is rotated at 100 RPM at a current density of 10 mA/cm 2 .
  • the overpotential is expressed relative to the open-circuit voltage, which is the voltage between the copper coated platinum RDE and the Hg/HgSO 4 reference electrode when no current is passed.
  • the three tested electrolytes are all copper sulfate solutions.
  • the first solution is a baseline solution of 40 g/L Cu 2+ , 10 g/L acid, and 50 ppm Cl ⁇ .
  • the second solution is a low copper/high acid solution of 10 g/L Cu 2+ , 10 g/L acid, and 50 ppm Cl ⁇ .
  • the third solution is a low copper/low acid solution of 10 g/L Cu 2+ , 5 g/L acid, and 50 ppm Cl ⁇ .
  • “low” and “high” concentrations are compared relative to one another. In other words, although 10 g/L acid is referred to as a “high acid” solution, it should be understood that 10 g/L may not be a high acid solution in absolute terms, but rather, is high compared to the 5 g/L acid solution.
  • the use of the low copper/high acid solution increased the overpotential by about 30 mV, and the use of the low copper/low acid solution further increased the overpotential by about another 20 mV.
  • the use of the low copper/high acid solution increased the overpotential by about 50 mV, and the use of the low copper/low acid solution further increased the overpotential by about another 50 mV.
  • the use of a low copper, low acid electrolyte may increase the overpotential by about 100 mV when additives are present, as compared to conventional electroplating solutions.
  • This increase in overpotential is larger than the increase typically achieved by using stronger suppressor formulations or changing the suppressor concentration in a given electrolyte (e.g., a typical increase of about 10 to 20 mV).
  • increasing the concentration of halide ions does not increase the impurities in the plated films, at least in cases where the electrolyte contains appropriate organic additives that yield pure films, as shown in FIG. 3 .
  • the high halide ion concentrations described herein have no negative impact on film properties or electrical reliability of the processed devices. The lack of an increase in impurities (and related device unreliability) at high halide concentrations was surprising.
  • the copper seed dissolution is also slower at a given current density. Due to the lower seed dissolution rate, lower current densities may be used without resulting in sidewall voids. The lower current densities allow the plating to occur more slowly, resulting in better fills with fewer defects in a more reproducible process.
  • the higher overpotential provides better seed protection at a given current density. Better seed protection is especially beneficial for small features (e.g., 10-20 nm nodes) with thin seeds (e.g., thinner than about 100 ⁇ ) which may otherwise dissolve during plating, resulting in fill defects.
  • the high nucleation density is especially beneficial for thin seeds, especially those that may have marginal/discontinuous seed coverage.
  • thin seeds may have discontinuous coverage on a substrate surface due to the nature of the PVD process used to deposit the seed layer.
  • the discontinuities in seed coverage tend to occur on the sidewalls of features.
  • the combination of improved seed protection and high nucleation density enables plating to occur in small features with thin seed without the formation of sidewall voids, or at a minimum, with fewer/smaller voids than occur with conventional methods.
  • the high overpotential at low copper concentrations promotes high nucleation density at lower current densities than what is typically used when plating in conventional electrolytes.
  • the high nucleation density promotes the formation of a continuous copper film instead of a discontinuous copper film having islands of copper.
  • Another advantage to using a low copper electrolyte is that it can promote uniform fill across a pattern of dense features.
  • conventional electrolytes often result in non-uniform fills between the features.
  • organic additives present in the electrolyte adsorb onto the surface, both within the features and in the field region.
  • Features which are relatively upstream in the electrolyte flow path may therefore experience higher concentrations of additives, while features which are relatively downstream may experience lower concentrations of such additives.
  • the activity of the suppressor maxes out at a certain threshold suppressor concentration referred to herein as the saturation point or suppressor saturation point.
  • additional suppressor has very little or no effect on the overpotential at a fixed current density. It is desirable for the electrolyte to be near or above the suppressor saturation point during the initial stage of plating at all locations on the substrate surface.
  • the suppressor concentration differences caused by flow direction (upstream vs. downstream) and/or pattern density have little or no impact on overpotential or copper deposition rate.
  • the difference in additive concentrations/adsorption rates may result in non-uniform fill between the upstream and downstream features.
  • This same phenomenon also results in fill differences between features which are located in areas of dense features vs. features which are more isolated.
  • the suppressor saturation point increases with increasing copper ion concentration in the electrolyte.
  • the suppressor saturation point is lower, and it is easier for the suppressor concentration to remain above the saturation point at all locations during the initial stage of plating.
  • FIG. 4A shows the fill fraction of 20 nm technology nodes (i.e., 2 X-nm features) at three locations on a wafer (upstream edge (LL, 402 ), center (C, 404 ), and downstream edge (UR, 406 )) when plated at three different copper concentrations (20, 30 and 40 g/L copper ions).
  • the other components of the electrolyte and the additive concentrations were the same for all three electrolytes.
  • the fill fraction represents the fraction of the feature that was filled with copper during deposition.
  • the fill fraction may be correlated to the fill rate.
  • the features plated in electrolyte having 40 g/L copper ions show the widest variation in fill fraction.
  • the uniformity of fill fraction increases slightly for the features plated in electrolyte having 30 g/L copper ions, and the uniformity is best for the features plated in electrolyte having the lowest copper ion concentration at 20 g/L.
  • the fill fraction at the upstream edge was 85% of that seen at the downstream edge, which is significantly more uniform than at the 40 g/L copper ion condition where the fill fraction at the upstream edge was only about 50% of that seen at the downstream edge.
  • Low copper electrolytes are also beneficial because they increase the cloud point of the suppressor in the electrolyte.
  • suppressors form agglomerations above certain temperatures.
  • the temperature at which the agglomerations become visible is referred to as the cloud point, though it is believed that such agglomerations begin to form at temperatures lower than the cloud point.
  • the agglomerations result in significant plating defects, and therefore, plating should occur at a temperature well below (e.g., 20° C. or more below) the cloud point of the electrolyte.
  • the increase in cloud point resulting from the use of a low copper electrolyte is substantial.
  • the cloud point of a 2% (vol/vol) suppressor solution may be increased by about 25° C.
  • Table 1 lists the cloud point of solutions of 2% (vol/vol) commercial suppressor (Extreme Plus from Enthone) in two electrolytes.
  • the cloud point of the conventional high copper, high acid electrolyte is 35° C.
  • the cloud point of the low copper, low acid electrolyte is 25° C. higher at 60° C. It is believed that all suppressors will exhibit similarly increased cloud points in electrolytes with low copper ion concentrations.
  • Electrolyte Composition Cloud Point 40 g/L Cu 2+ , 10 g/L acid, 50 ppm Cl ⁇ 35 5 g/L Cu 2+ , 5 g/L acid, 50 ppm Cl ⁇ 60
  • An additional benefit to using low copper/low acid/high halide concentration electrolytes is that the impact from the terminal effect is reduced.
  • plating begins, there is a significant potential drop between the edges of a wafer (where the wafer is connected to the power supply) and its center. The difference in potential results in faster plating at the edges and slower plating at the center of the substrate during the initial plating stage.
  • the resulting film is generally edge-thick and center-thin, meaning that there may be significant plating non-uniformities between the different areas on a wafer.
  • the impact of the terminal effect is reduced when a low copper/low acid/high halide concentration electrolyte is used due to the higher impedance/lower conductivity of the electrolyte.
  • the voltage drop between the wafer edge and center due to resistive seed becomes less significant.
  • the terminal effect is an important consideration when designing electroplating systems, and will be even more important as the industry transitions from 300 to 450 mm wafers. Further, the terminal effect is increasingly important as thinner seed layers are used to accommodate smaller features because the thinner seed layers exhibit higher sheet resistance.
  • halide ions may act as a bridge between the substrate and the organic plating additives.
  • One reason that the halide ion concentration is typically kept low is that high concentrations of chloride ions can result in formation of CuCl, which precipitates out of the electrolyte and causes poor plating results.
  • halide concentration may be kept low in conventional plating methods is that higher halide ion concentrations may, in a number of cases, result in higher levels of roughness and/or impurities in the resulting electroplated film.
  • the low copper/low acid/high halide electrolytes discussed herein have surprisingly not shown such trends, as discussed in relation to FIG. 4F , below. Instead, the electrolytes discussed herein exhibit comparable and commercially acceptable levels of impurities over a wide range of chloride ion concentrations, assuming that the electrolyte is provided with appropriate plating additives, as described herein.
  • FIG. 4B illustrates current density vs. time over the first second of electroplating, when the additives initially adsorb onto the substrate.
  • Five different electrolytes were tested, with halide (chloride) ion concentrations ranging from about 50 ppm to about 400 ppm.
  • the electrolytes each had about 5 g/L copper ions and 10 g/L acid.
  • a constant potential of about ⁇ 0.8V was applied to the substrates during immersion, and the substrates were rotated at about 300 RPM.
  • the current density increased (became more negative) much more quickly in the cases where higher concentrations of halide ion were used. This indicates that the additives quickly adsorbed onto the substrate to establish bottom-up fill when higher concentrations of halide ion were used.
  • FIG. 4C depicts the polarization rate vs. halide ion concentration for the electrolytes tested in FIG. 4B .
  • the polarization rate (sometimes referred to as the additive adsorption rate) was calculated based on the rate of change of the current density over an initial plating period (e.g., about 50 ms), for the electrolytes described in relation to FIG. 4B .
  • the polarization rate first increases with increasing halide ion concentration, reaching a maximum polarization rate at about 300 ppm. At halide ion concentrations above 300 ppm, the rate of polarization begins to decrease.
  • FIG. 4D illustrates the steady state polarization achieved for six different electrolytes having different halide (chloride) ion concentrations ranging from about 50 ppm to about 400 ppm.
  • the electrolytes included about 5 g/L copper ions and 10 g/L acid.
  • a constant current density of about 10 mA/cm 2 was applied to the substrates, which were rotated at about 300 RPM.
  • FIG. 4E depicts the polarization strength of the steady state polarization (taken at about 60 seconds from FIG. 4D ) for the different electrolytes tested in relation to FIG. 4D .
  • the polarization strength increases (becomes more negative) when increasing from about 50 to about 300 ppm halide ions. Above 300 ppm halide ions, the steady state polarization strength begins to decrease.
  • FIGS. 4B-4E suggest that the rate of polarization and strength of polarization can be maximized by controlling the concentration of halide ions between about 150-400 ppm, for example between about 150-300 ppm, or between about 200-300 ppm.
  • Halide ion concentrations below or above these ranges may result in slower and less significant polarization, and therefore lower quality plating results.
  • the optimal halide concentration for a particular application may depend on the exact composition of the electrolyte, it has been found that halide concentrations within the disclosed ranges produce significant and unexpected benefits, particularly for low copper/low acid electrolytes.
  • FIG. 4F depicts four graphs describing the composition of films deposited using electrolytes having halide ion concentrations ranging between about 50-300 ppm.
  • Each graph relates to a different element (C, Cl, S, and N).
  • the increasing halide concentration did not have a significant impact on the incorporation of impurities into the electroplated film.
  • This result was surprising. It was expected that higher chloride concentrations would result in higher film impurities, for example due to incorporation of chloride (or other species) from the electrolyte into the plated film. However, the data show that this was not the case, and film impurities were fairly stable over a wide range of chloride concentrations. Because it was expected that film impurities would increase with increasing chloride ion concentrations, this result was unexpected.
  • FIG. 4G presents the data of FIG. 4F at a film depth of about 0.7 ⁇ m. As explained in relation to FIG. 4F , the film impurities were not significantly affected by the increasing halide ion concentration.
  • FIG. 4H presents defect maps for a variety of substrates electroplated in different electrolytes using different defect inspection recipes.
  • the electrolytes included between about 50-300 ppm halide (chloride) ions.
  • Three inspection recipes were used: Recipe A, Recipe P, and Recipe F.
  • Recipe A is tuned to pick out general defects that are about 0.16 um and larger.
  • Recipe P and Recipe F have higher sensitivity than Recipe A, and are tuned to pick out smaller defects that Recipe A may not be able to detect.
  • Recipe P is specifically tuned to detect small pits and/or voids in addition to larger defects.
  • Recipe F is tuned to detect more surface topography related small defects such as surface roughness, small protrusions, and fine particles.
  • the substrates tested were blanket wafers.
  • the electrolytes tested each included 5 g/L copper ions, 10 g/L acid, 90 ppm or mg/L Cobra accelerator, 160 ppm or mg/L Cobra suppressor, and 16 ppm or mg/L Cobra leveler.
  • the number on the bottom right portion of each defect map indicates the number of defects detected on that wafer.
  • halide ion concentration e.g., up to about 300 or 400 ppm
  • this result was unexpected.
  • FIG. 5A is a graph illustrating the fill fraction for substrates plated in various electrolytes having between 50-300 ppm halide (chloride) ions.
  • Each electrolyte also included Cobra accelerator, Cobra suppressor, and Cobra leveler at 90 ppm, 160 ppm, and 16 ppm or mg/L, respectively.
  • Each substrate was patterned with 56 nm pitch trenches, and plating occurred at about 6.7 mA/cm 2 , for a duration of about 1 second. Because plating occurred for only 1 second in each case, the fill fraction also represents the fill rate. While higher halide concentrations slightly decreased the fill fraction/fill rate, this decrease was very small.
  • the features are filled sufficiently slowly to produce high quality plating results, but not too slow to be commercially feasible. While the decrease in fill fraction/fill rate at high halide concentrations was insignificant, this may not be the case for all features. For example, where large features (e.g., 1 ⁇ m features) are filled, the plating time is much longer, which may render even small changes in plating rate significant. However, for various embodiments herein, the features are sufficiently small (e.g., 10-20 nm) and fill sufficiently quickly that the decreased fill rate at higher halide ion concentrations is insignificant.
  • FIG. 5B is a graph illustrating the fill fraction for substrates plated in various electrolytes having between 50-300 ppm halide (chloride) ions.
  • the electrolytes had the same accelerator/suppressor/leveler concentrations as described in relation to FIG. 5A .
  • Plating occurred at about 6.7 mA/cm 2 for a duration of about 2 seconds. Because each substrate was plated for the same amount of time, the fill fraction also represents the fill rate.
  • Each substrate was patterned with 50 or 60 nm dual damascene structures (trenches and vias). Two different substrate structures were tested, and Structure 1 had larger features than Structure 2 . Like the results in FIG.
  • FIGS. 5A and 5B show that high halide concentrations can effectively be used to electroplate copper into various kinds of features without unacceptably slowing or stopping the feature fill.
  • FIG. 6 is a graph describing the voided fraction within a feature vs. chloride ion concentration for substrates plated in electrolytes having a range of chloride ion concentrations between about 50-300 ppm.
  • the substrates plated in relation to FIG. 6 included a patterned array of 48 nm trenches, and had relatively poor seed layers deposited in/on the trenches (120 nm seed).
  • the poor or discontinuous seed coverage was intentionally created to act as a test vehicle for copper nucleation, seed dissolution, or sidewall void study on thin/discontinuous seed.
  • the seed coverage of commercial products is typically much better, and void formation is therefore much less likely/significant than is presented in relation to FIG. 6 .
  • Each electrolyte further included accelerator, suppressor, and leveler at the concentrations described in relation to FIG. 5A .
  • the voided fraction was evaluated at both the center and edge of the array of features. Near the edge of the array, the halide ion concentration did not have much effect on the voided fraction within the feature. However, near the center of the array, increasing the halide ion concentration from about 50 to 300 ppm results in a substantial decrease in the voided fraction within the feature. In other words, the relatively higher halide ion concentration ranges described herein can result in significant improvements in feature fill near the center of a substrate/array of features.
  • halide ion concentration ranges described herein promote improved nucleation on the seed layer (e.g., compared to lower/conventional halide ion concentrations). This factor is especially beneficial in cases where the seed layer is poor (e.g., oxidized, discontinuous, or otherwise poor quality).
  • a number of blanket substrates were electroplated in low copper (5 g/L), low acid (10 g/L), high halide ion (200 ppm chloride ion) electrolytes.
  • concentration of accelerator was varied between about 60-200 ppm or mg/L (Cobra accelerator)
  • concentration of suppressor was varied between about 100-240 ppm or mg/L (Cobra suppressor).
  • the electrolytes included about 16 ppm or mg/L Cobra leveler.
  • Each substrate was tested for defects and reflectivity. The defect and reflectivity behavior was comparable for the various electrolytes.
  • the use of a relatively high halide ion concentration does not unacceptably limit the concentration of the additives in the electrolyte.
  • Experiments performed on patterned substrates similarly show that there is a reasonably wide process window for additive concentrations in cases where the substrate is patterned.
  • higher suppressor concentrations e.g., 200 ppm and above
  • the benefits described herein are most prevalent where the concentration of accelerator is about 90 ppm or above.
  • halide ions within the ranges described herein
  • the use of a relatively high concentration of halide ions can have significant benefits in terms of void formation, especially in cases where the seed coverage is poor.
  • the high concentration of halide ions does not substantially/unacceptably decrease the fill rate, nor does it substantially/unacceptably increase defects or film impurities or limit the process window in terms of additive concentrations.
  • the improved void formation may be a result of the increased rate at which suppression occurs in the electrolyte during and shortly after immersion.
  • the high halide ion concentration may allow the additives (e.g., suppressor and others) to quickly adsorb onto the substrate at appropriate locations to quickly establish bottom-up fill and reduce the likelihood that a void will form.
  • the electrolyte used in embodiments herein may contain copper ions, acid, water, halide ions, and organic additives such as suppressors, accelerators and levelers.
  • the composition of the electrolyte is described in more detail below.
  • the temperature of the electrolyte during deposition may be between about 25-40° C., for example 30° C., to achieve a reasonable deposition rate and temperature control.
  • a constant potential is applied to the wafer in order to prevent seed dissolution or corrosion when the wafer first enters the electrolyte.
  • the constant potential entry is further described in U.S. Pat. No. 6,551,483, issued Apr. 22, 2003, and incorporated by reference herein.
  • the potential is dynamic during the initial immersion period. Generally, a high potential is desired during entry in order to provide better seed protection, especially where thin copper seed layers are used.
  • the copper plating is conformal (i.e., the copper deposition rate is the same at all locations such as the field, sidewalls and bottom of features).
  • a substrate is plated at a relatively low constant current or current density as the features are filled.
  • the substrate may be plated at a current density between about 0.5-5 mA/cm 2 during this fill stage.
  • the current density during this fill stage is about 5 mA/cm 2 or less, or about 3 mA/cm 2 or less (e.g., between about 3-5 mA/cm 2 ).
  • the current density during the bottom-up fill stage is higher, for example, about 10 mA/cm 2 or less (e.g., between about 0.5-10 mA/cm 2 ).
  • the higher current densities can be used when the mass transfer to the substrate is relatively higher.
  • a high mass transfer rate is beneficial during the fill stage in order to avoid copper depletion inside the features, especially at relatively higher current densities.
  • Higher mass transfer rates may be achieved by increasing the flow rate of the electrolyte, as well as by increasing the rotation speed of the electrode, which increases convection to the substrate surface.
  • the mass transfer rate should generally be sufficiently high such that the electrodeposition reaction is kinetically controlled, not mass transfer controlled.
  • the current or current density may be dynamic over time.
  • Many suitable electroplating processes and systems may be used to implement the embodiments described herein. Examples of such processes and systems are described in the following U.S. patents and U.S.
  • the substrate may be removed from the electrolyte, rinsed, dried and processed for further use.
  • FIG. 7 shows an example of a method of electroplating copper 700 according to the embodiments described herein.
  • the plating process may be divided into the following basic stages: immersion, fill, overburden and removal.
  • immersion stage beginning at block 701 , a negative bias is applied to the wafer.
  • the biased wafer is immersed into a low copper concentration electrolyte at an angled orientation.
  • the wafer enters the plating bath at a high constant potential between about 1-2V, depending on seed thickness. A higher potential may be applied when the seed is thinner or when the sheet resistance of the seed is higher.
  • the wafer enters the plating bath at an angle (e.g., 2-4 degrees) with respect to the surface of the plating solution in order to avoid trapping air bubbles on the wafer surface.
  • the entry angle may be fixed, or it may be dynamic as the wafer becomes more fully immersed.
  • the vertical speed of the wafer during immersion may be between about 5-300 mm/s, between about 5-200 mm/sec, or between about 100-300 mm/sec in certain implementations. The vertical speed should be relatively fast in order to achieve uniform initial plating across the wafer, but should not be so fast as to cause defect issues due to splashing.
  • the rotation speed of the electrode may be optimized such that there is (1) a low limiting current at high entry voltage during potentiostatic entry, and (2) a uniform initial plating rate across the wafer.
  • a low rotation speed is generally beneficial where there is a low limiting current, while a high rotation speed is generally beneficial to promote uniform initial plating across the wafer.
  • the entry rotation speed of the electrode should be set at an intermediate level, for example, between about 30-120 RPM.
  • the flow rate of plating solution during the initial immersion stage may be relatively low (e.g., between about 3-6 LPM). After the wafer is fully immersed in the plating bath, the wafer is set to a tilt angle of 0.
  • the features on the substrate undergo bottom-up fill as described herein.
  • the current density during the fill stage may be relatively low (e.g., between about 0.5-5 mA/cm 2 , though it may be higher, e.g., up to about 10 mA/cm 2 in some cases).
  • the mass transfer rate during the fill stage may be relatively low in order to avoid diffusion of leveler into the features.
  • the flow rate of electrolyte may be about 6 LPM during this stage.
  • the electrode rotation speed may be relatively low during the fill stage (e.g., between about 12-30 RPM).
  • an overburden stage occurs at block 707 .
  • a higher current and/or current density is applied (e.g., between about 10-15 mA/cm 2 ), and a higher rate of mass transfer is used (e.g., an electrolyte flow rate between about 12-20 LPM, and an electrode rotation speed between about 60-120 RPM).
  • the wafer may be removed from the electrolyte.
  • the wafer may be optionally rinsed, dried and processed for further use.
  • the wafer is planarized to remove overburden. Operations 711 and 713 may occur in either order.
  • FIG. 8 shows the fill of 48 nm trenches (i.e., features) plated in two-component plating solutions in three different electrolyte compositions.
  • “Two-component plating solution” means that the electrolyte included both accelerator and suppressor.
  • the left panel of FIG. 8 corresponds to trenches filled in a high copper/high acid electrolyte having 40 g/L Cu 2+ , 10 g/L acid, 50 ppm Cl ⁇ , 40 ppm or mg/L accelerator, and 200 ppm or mg/L suppressor.
  • the middle panel corresponds to trenches filled in a low copper/high acid electrolyte having 5 g/L Cu 2+ , 10 g/L acid, 50 ppm Cl ⁇ , 20 ppm or mg/L accelerator, and 100 ppm or mg/L suppressor.
  • the right panel corresponds to trenches filled in a low copper/low acid electrolyte having 5 g/L Cu 2+ , 5 g/L acid, 50 ppm Cl ⁇ , 20 ppm or mg/L accelerator, and 100 ppm or mg/L suppressor.
  • Each set of trenches was plated for 0.15 seconds in a beaker with a potentiostatic entry at 1V as compared to an Hg/HgSO 4 reference electrode, followed by plating at a constant current density of 3 mA/cm 2 .
  • the rotation speed of the electrode during plating was 100 RPM.
  • the trenches filled in the high copper/high acid solution show conformal plating with significant seam void defects, while the trenches plated in the low copper solutions ( FIG. 8 , center and right panels) show no such defects.
  • the use of the low copper electrolyte substantially reduced the number and severity of plating defects seen in the features and appears to have eliminated such defects altogether.
  • FIG. 8 focuses on the benefits of low copper and low acid electrolytes, and does not examine the effect of high halide ion concentrations.
  • Electrolytes used in the embodiments disclosed herein may contain copper ions, acid, water, halide ions, and organic additives such as suppressors, accelerators and levelers.
  • the concentration of copper ions (Cu 2+ ) in the electrolyte may be between about 4-10 g/L, in some cases between about 4-8 g/L or between about 4-5 g/L.
  • the copper ions are typically provided in the form of a copper salt.
  • Example copper salts include, but are not limited to, copper sulfate, copper methanesulfonate, copper pyrophosphate, copper propanesulfonate, etc.
  • the copper concentrations cited herein include only the mass of the copper cations and do not include the mass of any associated anions.
  • the concentration of acid in the electrolyte may be less than about 10 g/L acid. In some cases, the acid concentration is between about 2-15 g/L acid, though in certain implementations the concentration is limited to between about 5-10 g/L. Other implementations of the invention may utilize acid concentrations above 15 g/L.
  • Example acids include, but are not limited to, sulfuric acid and methanesulfonic acid. The acid will dissociate into cations and anions, and the anions are typically the same species as anions formed from the copper salt.
  • the acid concentrations cited herein include the mass of both the hydrogen cation and the associated anion in solution. The acid concentration may be varied to control the conductivity of the plating bath. In addition to increasing the polarization of the electrolyte as described above, low acid electrolytes may be further beneficial because they use less acid (reducing cost), are safer to use, and are easier on the plating equipment (causing less equipment damage over time).
  • the electrolytes used herein are typically aqueous and generally contain halide ions.
  • Example halide ions include, but are not limited to, chloride ions, bromide ions, iodide ions, and combinations thereof. It is believed that halide ions act as bridges to assist the adsorption of organic additives on the copper surface to achieve bottom-up fill of the features.
  • the concentration of halide ions may range between about 150-400 ppm, in some cases between about 150-300 ppm, or between about 200-300 ppm, or between about 250-300 ppm.
  • the halide ion concentrations cited herein include the mass of the halide anions, and do not include the mass of any associated cations.
  • the oxygen level of the electrolyte is less than about 1-2 ppm in order to minimize seed oxidation/corrosion in the electrolyte.
  • the electrolyte may contain organic additives such as suppressors, accelerators and/or levelers. A detailed description of the function, interaction and identity of these additives is included above.
  • the concentration of organic additives in the electrolyte may range between about 10-500 mg/L. This concentration corresponds to the mass of active components in the additives and does not include the mass of non-active components.
  • the use of a low copper electrolyte allows plating to occur at relatively low suppressor concentrations as compared to conventional electroplating electrolytes.
  • the concentration of suppressor is between about 50-200 ppm, between about 50-300 ppm, and/or below about 200 ppm.
  • the electrolyte will tend to have a cloud point that is relatively high.
  • the cloud point of the electrolyte is between about 40-100° C., between about 50-100° C., or between about 60-100° C.
  • Electrolyte 1 has a high chloride ion concentration as described herein.
  • One example apparatus includes a clamshell fixture that seals a wafer's backside away from the plating solution while allowing plating to proceed on the wafer's face.
  • the clamshell fixture may support the wafer, for example, via a seal placed over the bevel of the wafer, or by means such as a vacuum applied to the back of a wafer in conjunction with seals applied near the bevel.
  • the clamshell fixture should enter the bath in a way that allows good wetting of the wafer's plating surface.
  • the quality of substrate wetting is affected by multiple variables including, but not limited to, clamshell rotation speed, vertical entry speed, and the angle of the clamshell relative to the surface of the plating bath. These variables and their effects are further discussed in U.S. Pat. No. 6,551,487, incorporated by reference herein.
  • the electrode rotation rate is between about 5-125 RPM
  • the vertical entry speed is between about 5-300 mm/s
  • the angle of the clamshell relative to the surface of the plating bath is between about 1-10 degrees.
  • One of the goals in optimizing these variables for a particular application is to achieve good wetting by fully displacing air from the wafer surface.
  • Electrodeposition, including substrate immersion, and other methods disclosed herein can be performed in components that form a larger electrodeposition apparatus.
  • FIG. 9 shows a schematic of a top view of an example electrodeposition apparatus.
  • the electrodeposition apparatus 900 can include three separate electroplating modules 902 , 904 , and 906 .
  • the electrodeposition apparatus 900 can also include three separate modules 912 , 914 , and 916 configured for various process operations.
  • one or more of modules 912 , 914 , and 916 may be a spin rinse drying (SRD) module.
  • one or more of the modules 912 , 914 , and 916 may be post-electrofill modules (PEMs), each configured to perform a function, such as edge bevel removal, backside etching, and acid cleaning of substrates after they have been processed by one of the electroplating modules 902 , 904 , and 906 .
  • PEMs post-electrofill modules
  • the electrodeposition apparatus 900 includes a central electrodeposition chamber 924 .
  • the central electrodeposition chamber 924 is a chamber that holds the chemical solution used as the electroplating solution in the electroplating modules 902 , 904 , and 906 .
  • the electrodeposition apparatus 900 also includes a dosing system 926 that may store and deliver additives for the electroplating solution.
  • a chemical dilution module 922 may store and mix chemicals to be used as an etchant.
  • a filtration and pumping unit 928 may filter the electroplating solution for the central electrodeposition chamber 924 and pump it to the electroplating modules.
  • a system controller 930 provides electronic and interface controls required to operate the electrodeposition apparatus 900 .
  • the system controller 930 (which may include one or more physical or logical controllers) controls some or all of the properties of the electroplating apparatus 900 .
  • the system controller 930 typically includes one or more memory devices and one or more processors.
  • the processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations as described herein may be executed on the processor. These instructions may be stored on the memory devices associated with the system controller 930 or they may be provided over a network. In certain embodiments, the system controller 930 executes system control software.
  • the system control software in the electrodeposition apparatus 900 may include instructions for controlling the timing, mixture of electrolyte components (including the concentration of one or more electrolyte components), inlet pressure, plating cell pressure, plating cell temperature, substrate temperature, current and potential applied to the substrate and any other electrodes, substrate position, substrate rotation, and other parameters of a particular process performed by the electrodeposition apparatus 900 .
  • the system control logic may also include instructions for electroplating under conditions that are tailored to be appropriate for a low copper concentration electrolyte and the high overpotential associated therewith. For example, the system control logic may be configured to provide a relatively low current density during the bottom-up fill stage and/or a higher current density during the overburden stage.
  • the control logic may also be configured to provide certain levels of mass transfer to the wafer surface during plating.
  • the control logic may be configured to control the flow of electrolyte to ensure sufficient mass transfer to the wafer during plating such that the substrate does not encounter depleted copper conditions.
  • the control logic may operate to provide different levels of mass transfer at different stages of the plating process (e.g., higher mass transfer during the bottom-up fill stage than during the overburden stage, or lower mass transfer during the bottom-up fill stage than during the overburden stage).
  • the system control logic may be configured to maintain the concentration of one or more electrolyte components within any of the ranges disclosed herein.
  • system control logic may be designed or configured to maintain the concentration of copper cations between about 1-10 g/L.
  • System control logic may be configured in any suitable way. For example, various process tool component sub-routines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes.
  • System control software may be coded in any suitable computer readable programming language.
  • the logic may also be implemented as hardware in a programmable logic device (e.g., an FPGA), an ASIC, or other appropriate vehicle.
  • system control logic includes input/output control (IOC) sequencing instructions for controlling the various parameters described above.
  • IOC input/output control
  • each phase of an electroplating process may include one or more instructions for execution by the system controller 930 .
  • the instructions for setting process conditions for an immersion process phase may be included in a corresponding immersion recipe phase.
  • the electroplating recipe phases may be sequentially arranged, so that all instructions for an electroplating process phase are executed concurrently with that process phase.
  • control logic may be divided into various components such as programs or sections of programs in some embodiments.
  • logic components for this purpose include a substrate positioning component, an electrolyte composition control component, a pressure control component, a heater control component, and a potential/current power supply control component.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • parameters adjusted by the system controller 930 may relate to process conditions.
  • process conditions include bath conditions (temperature, composition, and flow rate), substrate position (rotation rate, linear (vertical) speed, angle from horizontal) at various stages, etc.
  • bath conditions temperature, composition, and flow rate
  • substrate position rotation rate, linear (vertical) speed, angle from horizontal
  • parameters adjusted by the system controller 930 may relate to process conditions.
  • bath conditions temperature, composition, and flow rate
  • substrate position rotation rate, linear (vertical) speed, angle from horizontal
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 930 from various process tool sensors.
  • the signals for controlling the process may be output on the analog and digital output connections of the process tool.
  • process tool sensors include mass flow controllers, pressure sensors (such as manometers), thermocouples, optical position sensors, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • the instructions can include inserting the substrate in a wafer holder, tilting the substrate, biasing the substrate during immersion, and electrodepositing a copper containing structure on a substrate.
  • a hand-off tool 940 may select a substrate from a substrate cassette such as the cassette 942 or the cassette 944 .
  • the cassettes 942 or 944 may be front opening unified pods (FOUPs).
  • a FOUP is an enclosure designed to hold substrates securely and safely in a controlled environment and to allow the substrates to be removed for processing or measurement by tools equipped with appropriate load ports and robotic handling systems.
  • the hand-off tool 940 may hold the substrate using a vacuum attachment or some other attaching mechanism.
  • the hand-off tool 940 may interface with a wafer handling station 932 , the cassettes 942 or 944 , a transfer station 950 , or an aligner 948 . From the transfer station 950 , a hand-off tool 946 may gain access to the substrate.
  • the transfer station 950 may be a slot or a position from and to which hand-off tools 940 and 946 may pass substrates without going through the aligner 948 . In some embodiments, however, to ensure that a substrate is properly aligned on the hand-off tool 946 for precision delivery to an electroplating module, the hand-off tool 946 may align the substrate with an aligner 948 .
  • the hand-off tool 946 may also deliver a substrate to one of the electroplating modules 902 , 904 , or 906 or to one of the three separate modules 912 , 914 , and 916 configured for various process operations.
  • An example of a process operation according to the methods described above may proceed as follows: (1) electrodeposit copper onto a substrate to form a copper containing structure in the electroplating module 904 ; (2) rinse and dry the substrate in SRD in module 912 ; and, (3) perform edge bevel removal in module 914 .
  • the module 912 can be configured as a spin rinse dryer and an edge bevel removal chamber. With such a module 912 , the substrate would only need to be transported between the electroplating module 904 and the module 912 for the copper plating and EBR operations.
  • a controller (e.g., system controller 930 ) is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • RF radio frequency
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g. a server
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • an electrodeposition apparatus 1000 is schematically illustrated in FIG. 10 .
  • the electrodeposition apparatus 1000 has a set of electroplating cells 1007 , each containing an electroplating bath, in a paired or multiple “duet” configuration.
  • the electrodeposition apparatus 1000 may perform a variety of other electroplating related processes and sub-steps, such as spin-rinsing, spin-drying, metal and silicon wet etching, electroless deposition, pre-wetting and pre-chemical treating, reducing, annealing, photoresist stripping, and surface pre-activation, for example.
  • the electrodeposition apparatus 1000 is shown schematically looking top down in FIG.
  • the substrates 1006 that are to be electroplated are generally fed to the electrodeposition apparatus 1000 through a front end loading FOUP 1001 and, in this example, are brought from the FOUP to the main substrate processing area of the electrodeposition apparatus 1000 via a front-end robot 1002 that can retract and move a substrate 1006 driven by a spindle 1003 in multiple dimensions from one station to another of the accessible stations—two front-end accessible stations 1004 and also two front-end accessible stations 1008 are shown in this example.
  • the front-end accessible stations 1004 and 1008 may include, for example, pre-treatment stations, and spin rinse drying (SRD) stations. Lateral movement from side-to-side of the front-end robot 1002 is accomplished utilizing robot track 1002 a .
  • Each of the substrates 1006 may be held by a cup/cone assembly (not shown) driven by a spindle 1003 connected to a motor (not shown), and the motor may be attached to a mounting bracket 1009 . Also shown in this example are the four “duets” of electroplating cells 1007 , for a total of eight electroplating cells 1007 .
  • the electroplating cells 1007 may be used for electroplating copper for the copper containing structure and electroplating solder material for the solder structure.
  • a system controller (not shown) may be coupled to the electrodeposition apparatus 1000 to control some or all of the properties of the electrodeposition apparatus 1000 .
  • the system controller may be programmed or otherwise configured to execute instructions according to processes described earlier herein.
  • electroplating apparatus/methods described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Generally, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film generally comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a work piece, i.e., a substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible, UV, or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or work piece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • a tool such as an RF or microwave plasma resist stripper.

Abstract

Certain embodiments herein relate to a method of electroplating copper into damascene features using a low copper, high halide concentration electrolyte having between about 4-10 g/L copper ions, between about 150-400 ppm halide ions, and about 2-15 g/L acid. Using the low copper electrolyte produces a relatively high overpotential on the plating substrate surface, allowing for a slow plating process with few fill defects. The low copper electrolyte may have a relatively high cloud point. The use of a relatively high halide ion concentration may promote improved nucleation on a seed layer, resulting in fewer and less significant voids within the features.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of U.S. patent application Ser. No. 13/753,333, filed Jan. 29, 2013, and titled “LOW COPPER ELECTROPLATING SOLUTIONS FOR FILL AND DEFECT CONTROL,” which is herein incorporated by reference in its entirety and for all purposes.
  • FIELD OF THE INVENTION
  • The present disclosure relates generally to copper electroplating of damascene interconnects, and more specifically, to a low-copper, low acid electrolyte having a high concentration of halide ions, and a method for using the electrolyte under conditions that enhance suppression of copper plating to promote void-free fill of submicron damascene features.
  • BACKGROUND
  • Electrolytes used in electroplating copper into damascene interconnects typically contain a copper salt, an acid, halide ions, an accelerator, a suppressor and a leveler. The copper salt is the copper source for the deposition. Acid is generally used to control the conductivity of the plating bath. Halide ions may act as bridges to assist the adsorption of certain organic additives (e.g., accelerator, suppressor and/or leveler) onto a substrate surface to encourage a bottom-up fill mechanism, described herein.
  • Example copper salts include, but are not limited to, copper sulfate, copper methanesulfonate, copper pyrophosphate, copper propanesulfonate, etc. As used herein, the concentration of copper ions reflects the concentration (mass per volume) of copper cations, and does not include the mass of any anions associated with the copper cations. Example acids include, but are not limited to, sulfuric acid and methanesulfonic acid. As used herein, the concentration of acid reflects the concentration (mass per volume) of the entire acid molecule, not the mass of hydrogen cations alone. Example halide ions include, but are not limited to, chloride, bromide, iodide, and combinations thereof.
  • It may be desirable to strongly polarize the substrate in the cathodic direction, particularly during the initial stages of electroplating onto a seed layer. Such polarization may protect the seed layer from dissolution. One way to achieve such polarization is by providing a strong “suppressor” in the electrolyte.
  • FIG. 2 shows a copper wafer 201 plated in an electrolyte containing a strong suppressor. It is a high molecular weight suppressor with high ratio of hydrophobic propylene oxide versus hydrophilic ethylene oxide. The cloud point of the electrolyte is 27° C., and plating occurred at 21° C. The plated wafer contains visible streaks caused by non-uniform suppressor adsorption on the wafer due to suppressor agglomeration. The use of some electrolytes may result in more subtle forms of such defects that are not detectable by visual inspection, but which are detectable through common defect metrology such as the AIT, SP1, or SP2 series of tools from KLA-Tencor of San Jose, Calif.
  • Another technique for increasing the polarization of a substrate is to increase halide ion concentrations or change the halide ion composition. This technique is further described in U.S. Pat. No. 8,268,155, incorporated by reference herein. The halide may affect the suppressing effect of a suppressor or other additive. However, the increase in overpotential that may be gained by changing halide ion concentration or composition is limited, and may not sufficient to provide the conditions needed for a uniform, reproducible fill of small 10-20 nm features. Further, the concentration of halide ions should be relatively low in the electrolyte in order to avoid incorporation of the halides into the plated films or the formation of center voids due to insufficient bottom-up fill by over-suppression of copper deposition.
  • While conventional electroplating solutions have worked well for previous generations of damascene interconnect fabrication processes, new challenges are emerging as smaller features and thinner seed layers are used. Thus, it has recently been found that there exists a need for a method of electroplating damascene interconnects that better protects the seed layer from dissolution and allows the deposition to occur over a longer timeframe to achieve a more reproducible, more uniform fill of features.
  • SUMMARY
  • Various embodiments herein relate to methods and apparatus for electroplating copper into damascene features, as well as electrolytes for such electroplating processes. In a number of implementations, a particular electrolyte is used during electroplating. The electrolyte may be acidic, may have a relatively low concentration of copper ions, and may have a relatively high concentration of chloride ions. The electrolyte may also include organic additives such as suppressor, accelerator, and/or leveler. The disclosed methods, apparatus, and electrolyte are particularly useful for filling small (e.g., in some cases 10-20 nm) features using bottom-up fill to produce high quality plating results.
  • In one aspect of the disclosed embodiments, a method of plating copper into damascene features is provided, the method including: receiving a substrate having a seed thickness of about 200 nanometers, on average, or thinner; electrically biasing the substrate while immersing the substrate in an aqueous low copper acid-containing electrolyte including (i) between about 4-10 grams per liter copper cations, (ii) between about 150-400 ppm chloride ions, and (iii) at least one suppressor compound, whereby the electrolyte induces a cathodic overpotential on the seed sufficient to protect the seed from dissolution by acid in the electrolyte during immersion; electroplating copper in a process including: (a) a first plating phase to fill the substrate features with copper via a bottom-up fill mechanism, where a first current density during the first plating phase is between about 0.5-10 mA/cm2, and (b) a second plating phase to deposit an overburden layer of copper on the substrate, where a second current density during the second plating phase is greater than the first current density, and where the first and second plating phases are part of a single electroplating process; and removing the substrate from the electrolyte.
  • As mentioned, the electrolyte may have a particular composition. In some cases, the electrolyte further includes about 2-15 grams per liter acid, in some cases between about 5-10 grams per liter acid. The electrolyte may include between about 10-500 ppm active organic additives. In some cases, the active organic additives include one or more accelerator compound. The concentration of the accelerator compound may less than about 100 ppm. The suppressor compound may be a polymeric compound. In certain implementations, the active organic additives include one or more leveler compound. The concentration of chloride ions may be between about 150-300 ppm in some cases, for example between about 200-300 ppm. The pH of the electrolyte may be between about 0.2-2.
  • As mentioned, the substrate may include relatively small features that are to be filled with copper. In some embodiments, the substrate has at least some features with openings smaller than about 20 nanometers. In some such cases, all of the features on the substrate may have openings smaller than about 20 nm. The electroplating may occur at a particular temperature. In certain embodiments, the electrolyte has a cloud point of about 50° C. or higher, and electroplating occurs at a temperature that is at least about 20° C. lower than the cloud point of the electrolyte. The second current density may be between about 10-15 mA/cm2 in some embodiments. The substrate may be a 300 mm or 450 mm semiconductor substrate in some cases.
  • In a further aspect of the disclosed embodiments, a method of plating copper into damascene features is provided, the method including: receiving a substrate having a seed thickness of about 200 nanometers, on average, or thinner; electrically biasing the substrate while immersing the substrate in an aqueous low copper acid-containing electrolyte including (i) between about 4-10 grams per liter copper ions, (ii) between about 150-400 ppm chloride ions, (iii) at least one suppressor compound, and (iv) at least one accelerator compound, during the immersing, electroplating copper into the features by a bottom-up fill mechanism at a first current density, where electroplating occurs sufficiently slowly in the electrolyte at the first current density to allow the suppressor and accelerator to adsorb onto the seed and thereby enable bottom-up fill during immersion; and removing the substrate from the electrolyte.
  • In certain implementations, the first current density may be between about 0.5-10 mA/cm2. Electroplating copper into the features may be performed during a first plating phase. The method may also include a second plating phase including electroplating copper to deposit an overburden layer of copper on the substrate at a second current density, where the second current density is higher than the first current density.
  • The electrolyte may further include between about 2-15 g/L acid. In some embodiments, the electrolyte includes between about 4-6 g/L copper, or between about 4-5 g/L copper.
  • In another aspect of the disclosed embodiments, an electrolyte is provided, including: between about 1 and 10 grams per liter copper cations; between about 2 and 15 grams per liter acid; between about 150-400 ppm chloride ions; one or more suppressor compound; one or more accelerator compound; and the electrolyte having a cloud point above 50° C.
  • In some embodiments, the acid is sulfuric acid or methanesulfonic acid. The chloride ions may be provided at a concentration between about 150-300 ppm, or between about 200-300 ppm. The copper cations may be provided in a compound that dissociates into cations and anions, where the anions associated with the copper cations are the same species as anions formed from the acid. In various embodiments, the concentration of accelerator compound in the electrolyte may be about 100 ppm or less.
  • These and other features will be described below with reference to the associated drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows 100 nm trenches plated at constant currents between 1 and 4 Amps, for a fixed amount of charge passed.
  • FIG. 2 shows a copper wafer that was plated at 21° C. in a plating bath containing a strong suppressor with a low cloud point of 27° C. Polymer agglomeration defects are visible.
  • FIG. 3 shows galvanic polarization results collected on copper coated platinum rotating disk electrodes in three copper sulfate solutions, both with and without organic additives. The graphs illustrate increases in polarization resulting from decreases in copper ion concentration and acid concentration.
  • FIG. 4A is a graph demonstrating increased uniformity of fill fraction (and therefore fill rate) between upstream and downstream features on a substrate at low copper ion concentrations as opposed to high copper ion concentrations in electrolyte.
  • FIG. 4B is a graph showing current density over time for substrates plated in a variety of electrolytes having different halide ion concentrations.
  • FIG. 4C is a graph representing the polarization rate achieved for the various electrolytes tested in relation to FIG. 4B.
  • FIG. 4D presents data related to polarization vs. time for several different electroplating processes using electrolytes having differing concentrations of halide ions.
  • FIG. 4E is a graph depicting the steady state polarization achieved in the various electrolytes tested in relation to FIG. 4D.
  • FIG. 4F presents four graphs describing impurities in copper films deposited in various electrolytes having different concentrations of halide ions.
  • FIG. 4G summarizes the impurity data shown in FIG. 4F.
  • FIG. 4H illustrates several defect maps for substrates plated in a variety of electrolytes having different halide ion concentrations, using three different recipes.
  • FIGS. 5A and 5B are graphs describing the fill fraction for patterned substrates that were electroplated in a variety of electrolytes having different halide ion concentrations.
  • FIG. 6 is a graph showing the voided fraction within the features vs. the concentration of chloride ions in electrolyte for several substrates plated in different electrolytes.
  • FIG. 7 shows a flowchart of a method of electroplating copper according to the embodiments herein.
  • FIG. 8 shows the fill of 48 nm trenches plated in three electrolytes. The left panel corresponds to a film plated in a high copper, high acid electrolyte, the middle panel corresponds to a film plated in a low copper, high acid electrolyte, and the right panel corresponds to a film plated in a low copper, low acid electrolyte.
  • FIG. 9 shows a schematic of a top view of an example electrodeposition apparatus.
  • FIG. 10 shows a schematic of a top view of an alternative example electrodeposition apparatus.
  • DETAILED DESCRIPTION
  • In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. Further, the terms “electrolyte,” “plating bath,” “bath,” and “plating solution” are used interchangeably. The term “low copper electrolyte” is understood to mean “electrolyte having a low concentration of copper ions.” A low concentration of copper ions is understood to mean a concentration of less than about 10 g/L copper ions. The following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of this invention include various articles such as printed circuit boards and the like.
  • In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
  • The embodiments herein utilize a low copper concentration electrolyte having a high concentration of halide ions to achieve a high overpotential plating environment. For example, the copper concentration for low copper electrolytes may be about 75% to 90% lower than the nominal mass/volume concentration used in conventional copper plating baths. In certain applications, the copper ion concentration is less than about 10 g/L or less than about 5 g/L. In these or other applications, the copper ion concentration may be about 4 g/L or higher. In some examples, the copper ion concentration may be between about 4-10 g/L, or between about 4-5 g/L. The electrolyte may have a halide ion concentration between about 150-300 ppm, for example between about 200-300 ppm. This halide ion concentration is higher than halide ion concentrations previously used in low copper electrolytes. The high halide ion concentration can promote increased suppression (as well as an increased rate at which suppression takes effect), leading to higher quality bottom-up fill results. The role of halide ions is further discussed below.
  • Some embodiments also utilize a low acid concentration electrolyte to further increase the overpotential. For example, the electrolyte may be between about 2-15 g/L, between about 5-10 g/L, less than about 10 g/L, and/or less than about 5 g/L acid. The increased overpotential provides various advantages such as allowing plating to occur at a slower rate, thereby making the deposition easier to control and resulting in a more uniform, more easily reproducible fill. By increasing the total amount of plating time, the influence of initial plating non-uniformities that occur during immersion become less important, and a more uniform fill results.
  • Typically, the copper electroplating solution includes organic bath additives to permit controlled high quality electrofill of recesses in a damascene substrate. Such additives typically include a suppressor and an accelerator and possibly a leveler. One role of the suppressor is to suppress electroplating and increase the surface polarization of the plating substrate. Before further describing the use of low copper, high halide concentration electroplating solutions, a discussion of plating additives is presented. As used herein, many additive concentrations are recited in parts per million (ppm). This unit is equivalent to mg/L for the purpose of determining additive concentration in solution.
  • Suppressors
  • While not wishing to be bound to any theory or mechanism of action, it is believed that suppressors (either alone or in combination with other bath additives) are surface-kinetic polarizing compounds that lead to a significant increase in the voltage drop across the substrate-electrolyte interface, especially when present in combination with a surface chemisorbing halide (e.g., chloride or bromide). The halide may act as a bridge between the suppressor molecules and the wafer surface. In conventional electroplating solutions, the concentration of halide ions is typically relatively low (e.g., often below 100 ppm, in various cases below 50 ppm). This low halide concentration may promote formation of a relatively smoother film and/or minimize incorporation of impurities (e.g., halides or halide-containing compounds) in the film. However, in low copper electrolytes, higher concentrations of halide ions may be used to increase suppression without increasing film contamination. These surprising results are discussed further below.
  • The suppressor both (1) increases the local polarization of the substrate surface at regions where the suppressor is present relative to regions where the suppressor is absent, and (2) increases the polarization of the substrate surface generally. The increased polarization (local and/or general) corresponds to increased resistivity/impedance and therefore slower plating at a particular applied potential.
  • It is believed that suppressors are not incorporated into the deposited film, though they may slowly degrade over time. Suppressors are often relatively large molecules, and in many instances they are polymeric in nature (e.g., polyethylene oxide, polypropylene oxide, polyethylene glycol, polypropylene glycol, etc). Other examples of suppressors include polyethylene and polypropylene oxides with S- and/or N-containing functional groups, block polymers of polyethylene oxide and polypropylene oxides, etc. The suppressors can have linear chain structures or branch structures. It is common that suppressor molecules with various molecular weights co-exist in a commercial suppressor solution. Due in part to suppressors' large size, the diffusion of these compounds into a recessed feature is relatively slow.
  • Accelerators
  • While not wishing to be bound by any theory or mechanism of action, it is believed that accelerators (either alone or in combination with other bath additives) tend to locally reduce the polarization effect associated with the presence of suppressors, and thereby locally increase the electrodeposition rate. The reduced polarization effect is most pronounced in regions where the adsorbed accelerator is most concentrated (i.e., the polarization is reduced as a function of the local surface concentration of adsorbed accelerator). Example accelerators include, but are not limited to, dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and their derivatives. Although the accelerator may become strongly adsorbed to the substrate surface and generally laterally-surface immobile as a result of the plating reactions, the accelerator is generally not incorporated into the film. Thus, the accelerator remains on the surface as metal is deposited. As a recess is filled, the local accelerator concentration increases on the surface within the recess. Accelerators tend to be smaller molecules and exhibit faster diffusion into recessed features, as compared to suppressors.
  • Levelers
  • While not wishing to be bound by any theory or mechanism of action, it is believed that levelers (either alone or in combination with other bath additives) act as suppressing agents to counteract the depolarization effect associated with accelerators, especially in the field region and at the side walls of a feature. The leveler may locally increase the polarization/surface resistance of the substrate, thereby slowing the local electrodeposition reaction in regions where the leveler is present. The local concentration of levelers is determined to some degree by mass transport. Therefore levelers act principally on surface structures having geometries that protrude away from the surface. This action “smooths” the surface of the electrodeposited layer. It is believed that leveler reacts or is consumed at the substrate surface at a rate that is at or near a diffusion limited rate, and therefore, a continuous supply of leveler is often beneficial in maintaining uniform plating conditions over time.
  • Leveler compounds are generally classified as levelers based on their electrochemical function and impact and do not require specific chemical structure or formulation. However, levelers often contain one or more nitrogen, amine, imide or imidazole, and may also contain sulfur functional groups. Certain levelers include one or more five and six member rings and/or conjugated organic compound derivatives. Nitrogen groups may form part of the ring structure. In amine-containing levelers, the amines may be primary, secondary or tertiary alkyl amines. Furthermore, the amine may be an aryl amine or a heterocyclic amine. Example amines include, but are not limited to, dialkylamines, trialkylamines, arylalkylamines, triazoles, imidazole, triazole, tetrazole, benzimidazole, benzotriazole, piperidine, morpholines, piperazine, pyridine, oxazole, benzoxazole, pyrimidine, quonoline, and isoquinoline. Imidazole and pyridine may be especially useful. Leveler compounds may also include ethoxide groups. For example, the leveler may include a general backbone similar to that found in polyethylene glycol or polyethyelene oxide, with fragments of amine functionally inserted over the chain (e.g., Janus Green B). Example epoxides include, but are not limited to, epihalohydrins such as epichlorohydrin and epibromohydrin, and polyepoxide compounds. Polyepoxide compounds having two or more epoxide moieties joined together by an ether-containing linkage may be especially useful. Some leveler compounds are polymeric, while others are not. Example polymeric leveler compounds include, but are not limited to, polyethylenimine, polyamidoamines, and reaction products of an amine with various oxygen epoxides or sulfides. One example of a non-polymeric leveler is 6-mercapto-hexanol. Another example leveler is polyvinylpyrrolidone (PVP).
  • Bottom-Up Fill
  • In the bottom-up fill mechanism, a recessed feature on a plating surface tends to be plated with metal from the bottom to the top of the feature, and inward from the side walls towards the center of the feature. It is important to control the deposition rate within the feature and in the field region in order to achieve uniform filling and avoid incorporating voids into the features. The three types of additives described above are beneficial in accomplishing bottom-up fill, each working to selectively increase or decrease the polarization at the substrate surface.
  • After the substrate is immersed in electrolyte, the suppressor adsorbs onto the surface of the substrate, especially in exposed regions such as the field region. At the initial plating stages, there is a substantial differential in suppressor concentration between the top and bottom of a recessed feature. This differential is present due to the relatively large size of the suppressor molecule and its correspondingly slow transport properties. Over this same initial plating time, it is believed that accelerator accumulates at a low, substantially uniform concentration over the entire plating surface, including the bottom and side walls of the feature. Because the accelerator diffuses into features more rapidly than the suppressor, the initial ratio of accelerator:suppressor within the feature (especially at the feature bottom) is relatively high. The relatively high initial accelerator:suppressor ratio within the feature promotes rapid plating from the bottom of the feature upwards and from the sidewalls inwards. Meanwhile, the initial plating rate in the field region is relatively low due to the lower ratio of accelerator:suppressor. Thus, in the initial plating stages, plating occurs relatively faster within the feature and relatively slower in the field region.
  • As plating continues, the feature fills with metal and the surface area within the feature is reduced. Because of the decreasing surface area and the accelerator substantially remaining on the surface, the local surface concentration of accelerator within the feature increases as plating continues. This increased accelerator concentration within the feature helps maintain the differential plating rate beneficial for bottom-up fill.
  • In the later stages of plating, particularly as overburden deposits, the accelerator may build up in certain regions (e.g., above filled features) undesirably, resulting in local faster-than-desired plating. Leveler may be used to counteract this effect. The surface concentration of leveler is greatest at exposed regions of a surface (i.e., not within recessed features) and where convection is greatest. It is believed that the leveler displaces accelerator, increases the local polarization and decreases the local plating rate at regions of the surface that would otherwise be plating at a rate greater than at other locations on the deposit. In other words, the leveler tends, at least in part, to reduce or remove the influence of an accelerating compound at the exposed regions of a surface, particularly at protruding structures. Without leveler, a feature may tend to overfill and produce a bump. Therefore, in the later stages of bottom-up fill plating, levelers are beneficial in producing a relatively flat deposit.
  • The use of suppressor, accelerator and leveler, in combination, may allow a feature to be filled without voids from the bottom-up while producing a relatively flat deposited surface. The exact identity/composition of the additive compounds are typically maintained as trade secrets by the additive suppliers, thus, information about the exact nature of these compounds is not publicly available.
  • Plating Techniques and Process Considerations
  • Typical electroplating baths for filling damascene interconnects generally contain relatively high concentrations of copper cations (e.g., 40 g/L). High copper concentrations were understood to be beneficial because higher copper concentrations result in higher limiting currents that may be used during plating. Higher currents were seen as beneficial because they increased the rate of electrodeposition and thereby decreased processing time. Moreover, if the concentration of copper is too low, the electrolyte may experience copper depletion, resulting in significant fill defects. When the mass transfer of copper to the plating surface is too low at a given current (e.g., when the concentration of copper is too low or when the electrolyte is insufficiently turbulent), there is insufficient copper at the plating surface to sustain the reduction reaction. Instead, a parasitic reaction must occur to sustain the current delivered to the substrate. For example, the electrolyte itself may begin to decompose and generate gasses at the plating interface, resulting in significantly non-uniform plating and nodular growths on the substrate.
  • When plating with these high copper electrolytes, small features tend to fill fairly quickly (e.g., within 1 to 2 seconds). Because the timeframe for filling the small features is so short, the resulting fills often contain defects or are otherwise non-uniform. For example, because of the nature of the physical vapor deposition (PVD) processes used to deposit a copper seed layer, the seed thickness is not uniformly distributed, especially in areas along the sidewall of a feature. In some areas the seed layer may be so thin that the seed becomes discontinuous, which may lead to the formation of sidewall voids during subsequent rapid deposition.
  • As indicated, the immersion time is frequently a significant fraction of the total feature fill time in high copper electrolytes. Therefore, non-uniformities may be introduced as a consequence of the time difference between when the leading edge of a wafer contacts the electrolyte and when the trailing edge of the wafer contacts the electrolyte. Wafers are frequently immersed at an angle that deviates from horizontal in order to minimize bubble creation. In order to reduce non-uniformities introduced by the time it takes for the wafer to be fully immersed, it would be desirable to reduce the plating rate in recessed features, particularly during immersion. Use of a low copper electrolyte increases the polarization of the seed layer, thereby protecting it from dissolution without requiring a strong electrical bias. As a consequence, the low copper electrolyte permits seed layer protection without rapid electroplating. Non-uniformities are reduced.
  • Further, short feature filling timeframes required with high copper electrolytes may result in poor fill performance because the short timeframes are incompatible with the bottom-up fill mechanism during immersion, which requires some time for the organic additives to reach and adsorb onto the substrate surface at the relevant locations described above. As a particular example, when the accelerator compound(s) do not have time to reach and adsorb onto the bottom surface of a feature before substantial deposition begins, the bottom-up fill mechanism will be impaired and the feature fill will likely be poor. Similarly, bottom-up fill may be poor if the suppressor does not adsorb onto the field region of a feature sufficiently quickly. One method of addressing this concern is to use a high concentration of accelerator and/or suppressor in the electrolyte. However, high additive concentrations may be undesirable in particular applications, and it is generally advantageous to use low concentrations of these additives in order to lower cost. In certain implementations, the concentration of accelerator is about 100 ppm or less, for example about 20 ppm or less, or about 10 ppm or less.
  • The embodiments herein address the bottom-up fill timeframe issue by plating at a low copper ion concentration and high halide ion concentration. Electroplating can be accomplished using a low current density during bottom-up fill, thereby achieving a slower filling timeframe, especially for small features. The longer filling timeframe permits the organic additives sufficient time to diffuse or otherwise travel to their proper locations, adsorb onto the substrate surface, and promote bottom-up fill during immersion and before substantial deposition occurs. Further, the high concentration of chloride ions increases the rate at which certain additives adsorb onto the substrate, thereby improving electroplating results (e.g., void formation).
  • In order to increase the timeframe over which plating occurs, a lower current density is desired during bottom-up plating. Often, electroplating is accomplished using a two-phase process. A first phase electroplates copper into the features via a bottom-up fill mechanism, and a second phase electroplates copper onto the field region after the features are substantially filled. Typically, the current density is relatively low during the first phase, and higher during the second phase. Lower current densities during the first phase may result in higher bottom-up fill efficiency. FIG. 1 shows the partial fill of 100 nm trenches with a 400A seed layer plated at constant currents between 1 and 4 Amps, for a fixed amount of charge passed. The trenches plated at the lower current are more filled because of increased bottom-up fill efficiency. However, lower current densities result in faster dissolution of the copper seed layer, leading to sidewall voids. The minimum current density required for a void-free fill in conventional electrolytes is still too high to allow good process control (i.e., plating occurs too quickly to achieve a reproducible, defect-free fill).
  • Typical electroplating baths and methods often cause the copper seed layer to dissolve/corrode to a certain degree when the substrate is first immersed in electrolyte. This dissolution may result in voids or other defects/non-uniformities in the deposit. The seed dissolution issue is especially problematic for thin seed layers, as thin layers are more easily dissolved than thicker layers. To achieve higher performance integrated circuits, many of the features of the integrated circuits are being fabricated with smaller feature sizes and higher densities of components. As the industry moves towards smaller features, the seed layers must become thinner and thinner to avoid “pinching off” the top of the feature before the bottom of the feature can be properly filled. In some damascene processing, for example, copper seed layers on 2 X-nm node features may be as thin as or thinner than 50 Å. Technical challenges arise with smaller feature sizes in producing metal seed layers and metal interconnects substantially free of voids or defects.
  • One technique for protecting a copper seed layer is to increase the overpotential of the substrate-electrolyte interface. The overpotential may be increased in a variety of ways.
  • For example, using a stronger suppressor or a higher concentration of suppressor will result in a higher overpotential. Unfortunately, suppressors tend to form visible agglomerations above a threshold temperature, referred to as the cloud point. These agglomerations result in significant deposition defects because they can adhere to the surface of the substrate. Although the cloud point is the temperature at which the agglomerations become visible, it is believed that agglomerations begin forming at temperatures lower than the cloud point. Thus, the deposition process should be run at a temperature that is well below (e.g., 20° C. or more below) the cloud point of a particular electrolyte. Stronger suppressors tend to have very low cloud points (e.g., 27° C. for a proprietary suppressor that has more than 20 mV higher overpotential as compared to existing “moderate strength” commercial suppressors). Moreover, higher concentrations of suppressor result in lower cloud points (i.e., the more suppressor present in solution, the easier it is to form agglomerations at lower temperatures). Thus, although electrolytes with strong suppressors or high concentrations of weak to moderate suppressors may exhibit good fill performance, they cannot generally be used in conventional electroplating methods for small 10-20 nm features because they reduce the electrolyte's cloud point to an unacceptably low temperature.
  • While suppressors may be referred to as “weak” or “strong,” it should be understood that these terms are relative and may vary over time. As the industry develops, stronger suppressors are created, and suppressors that used to be seen as “strong” may now be considered “moderate” or even “weak.” Currently, the Excel suppressor available from Enthone may be considered a strong suppressor, while the Extreme Plus suppressor, also available from Enthone, may be considered a moderate suppressor.
  • FIG. 3 shows the overpotential of three electrolytes and demonstrates that the overpotential may be increased by using electrolytes with low copper and low acid concentrations. In the upper panel, the electrolytes do not include organic additives, while in the lower panel the organic additives (36 ppm or mg/L Excel accelerator and 200 ppm or mg/L Excel suppressor) are present. The overpotential is measured by galvanostatic polarization between a copper coated platinum rotating disk electrode (RDE) and a Hg/HgSO4 reference electrode. A thin layer of 0.66 μm copper is plated on a platinum electrode in an organic additive-free electrolyte. The electrode is then immersed in the bath to be tested with a copper sheet as the counter electrode. The voltage between the copper coated platinum RDE and the reference electrode is monitored while the electrode is rotated at 100 RPM at a current density of 10 mA/cm2. The overpotential is expressed relative to the open-circuit voltage, which is the voltage between the copper coated platinum RDE and the Hg/HgSO4 reference electrode when no current is passed.
  • The three tested electrolytes are all copper sulfate solutions. The first solution is a baseline solution of 40 g/L Cu2+, 10 g/L acid, and 50 ppm Cl. The second solution is a low copper/high acid solution of 10 g/L Cu2+, 10 g/L acid, and 50 ppm Cl. The third solution is a low copper/low acid solution of 10 g/L Cu2+, 5 g/L acid, and 50 ppm Cl. As used herein, “low” and “high” concentrations are compared relative to one another. In other words, although 10 g/L acid is referred to as a “high acid” solution, it should be understood that 10 g/L may not be a high acid solution in absolute terms, but rather, is high compared to the 5 g/L acid solution.
  • Where there were no organic additives present (FIG. 3, upper panel), the use of the low copper/high acid solution increased the overpotential by about 30 mV, and the use of the low copper/low acid solution further increased the overpotential by about another 20 mV. Where the organic additives were present (FIG. 3, lower panel), the use of the low copper/high acid solution increased the overpotential by about 50 mV, and the use of the low copper/low acid solution further increased the overpotential by about another 50 mV. Thus, the use of a low copper, low acid electrolyte may increase the overpotential by about 100 mV when additives are present, as compared to conventional electroplating solutions. This increase in overpotential is larger than the increase typically achieved by using stronger suppressor formulations or changing the suppressor concentration in a given electrolyte (e.g., a typical increase of about 10 to 20 mV). Advantageously, increasing the concentration of halide ions (e.g., chloride ions) does not increase the impurities in the plated films, at least in cases where the electrolyte contains appropriate organic additives that yield pure films, as shown in FIG. 3. Hence, the high halide ion concentrations described herein have no negative impact on film properties or electrical reliability of the processed devices. The lack of an increase in impurities (and related device unreliability) at high halide concentrations was surprising.
  • Because the low copper concentration electrolytes exhibit higher overpotential (stronger polarization) at a given current density, the copper seed dissolution is also slower at a given current density. Due to the lower seed dissolution rate, lower current densities may be used without resulting in sidewall voids. The lower current densities allow the plating to occur more slowly, resulting in better fills with fewer defects in a more reproducible process.
  • The low copper, higher overpotential electrolyte presents several significant plating benefits explored in more detail herein. First, the higher overpotential provides better seed protection at a given current density. Better seed protection is especially beneficial for small features (e.g., 10-20 nm nodes) with thin seeds (e.g., thinner than about 100 Å) which may otherwise dissolve during plating, resulting in fill defects.
  • Next, higher overpotential promotes higher nucleation density on the copper seed. The high nucleation density is especially beneficial for thin seeds, especially those that may have marginal/discontinuous seed coverage. As mentioned above, thin seeds may have discontinuous coverage on a substrate surface due to the nature of the PVD process used to deposit the seed layer. The discontinuities in seed coverage tend to occur on the sidewalls of features. However, the combination of improved seed protection and high nucleation density enables plating to occur in small features with thin seed without the formation of sidewall voids, or at a minimum, with fewer/smaller voids than occur with conventional methods. Moreover, the high overpotential at low copper concentrations promotes high nucleation density at lower current densities than what is typically used when plating in conventional electrolytes. The high nucleation density promotes the formation of a continuous copper film instead of a discontinuous copper film having islands of copper.
  • Another advantage to using a low copper electrolyte is that it can promote uniform fill across a pattern of dense features. When many features are positioned near one another on a wafer, conventional electrolytes often result in non-uniform fills between the features. As electrolyte flows over the surface of a substrate, organic additives present in the electrolyte adsorb onto the surface, both within the features and in the field region. Features which are relatively upstream in the electrolyte flow path may therefore experience higher concentrations of additives, while features which are relatively downstream may experience lower concentrations of such additives.
  • The activity of the suppressor maxes out at a certain threshold suppressor concentration, referred to herein as the saturation point or suppressor saturation point. At concentrations above the saturation point, additional suppressor has very little or no effect on the overpotential at a fixed current density. It is desirable for the electrolyte to be near or above the suppressor saturation point during the initial stage of plating at all locations on the substrate surface. Thus, the suppressor concentration differences caused by flow direction (upstream vs. downstream) and/or pattern density have little or no impact on overpotential or copper deposition rate. If one location on a substrate (e.g., an upstream location) experiences a suppressor concentration above the saturation point, and another location on the substrate (e.g., a downstream location) experiences a suppressor concentration below the saturation point (the lower suppressor concentration being a result of adsorbing suppressor onto upstream locations more rapidly on the substrate surface), the difference in additive concentrations/adsorption rates may result in non-uniform fill between the upstream and downstream features. This same phenomenon also results in fill differences between features which are located in areas of dense features vs. features which are more isolated. The suppressor saturation point increases with increasing copper ion concentration in the electrolyte. Thus, in solutions with lower copper ion concentrations, the suppressor saturation point is lower, and it is easier for the suppressor concentration to remain above the saturation point at all locations during the initial stage of plating.
  • FIG. 4A shows the fill fraction of 20 nm technology nodes (i.e., 2 X-nm features) at three locations on a wafer (upstream edge (LL, 402), center (C, 404), and downstream edge (UR, 406)) when plated at three different copper concentrations (20, 30 and 40 g/L copper ions). The other components of the electrolyte and the additive concentrations were the same for all three electrolytes. The fill fraction represents the fraction of the feature that was filled with copper during deposition. The fill fraction may be correlated to the fill rate. The features plated in electrolyte having 40 g/L copper ions show the widest variation in fill fraction. The uniformity of fill fraction increases slightly for the features plated in electrolyte having 30 g/L copper ions, and the uniformity is best for the features plated in electrolyte having the lowest copper ion concentration at 20 g/L. At the low copper condition, the fill fraction at the upstream edge was 85% of that seen at the downstream edge, which is significantly more uniform than at the 40 g/L copper ion condition where the fill fraction at the upstream edge was only about 50% of that seen at the downstream edge. For each set of features, there is a general trend towards higher fill fraction at the downstream edge (406) as compared to the upstream edge (402). This may be a result of different surface concentrations of adsorbed additives.
  • Low copper electrolytes are also beneficial because they increase the cloud point of the suppressor in the electrolyte. As discussed above, suppressors form agglomerations above certain temperatures. The temperature at which the agglomerations become visible is referred to as the cloud point, though it is believed that such agglomerations begin to form at temperatures lower than the cloud point. The agglomerations result in significant plating defects, and therefore, plating should occur at a temperature well below (e.g., 20° C. or more below) the cloud point of the electrolyte. The increase in cloud point resulting from the use of a low copper electrolyte is substantial. For example, the cloud point of a 2% (vol/vol) suppressor solution may be increased by about 25° C. when the copper concentration is reduced from 40 to 5 g/L in copper sulfate plating baths. Therefore, agglomeration defects can be significantly reduced or eliminated through the use of a low copper electrolyte. The increased cloud point also makes it possible to use strong suppressors (or higher suppressor concentrations) that could not be used in higher copper electrolytes due to their low cloud point. Furthermore, by increasing the cloud point of the suppressor/electrolyte, a wider range of process temperatures may be used for plating. Specifically, plating may occur at higher temperatures than was otherwise acceptable in conventional plating solutions.
  • Table 1 lists the cloud point of solutions of 2% (vol/vol) commercial suppressor (Extreme Plus from Enthone) in two electrolytes. The cloud point of the conventional high copper, high acid electrolyte is 35° C. The cloud point of the low copper, low acid electrolyte is 25° C. higher at 60° C. It is believed that all suppressors will exhibit similarly increased cloud points in electrolytes with low copper ion concentrations.
  • TABLE 1
    Electrolyte Composition Cloud Point (° C.)
    40 g/L Cu2+, 10 g/L acid, 50 ppm Cl 35
    5 g/L Cu2+, 5 g/L acid, 50 ppm Cl 60
  • An additional benefit to using low copper/low acid/high halide concentration electrolytes is that the impact from the terminal effect is reduced. When plating begins, there is a significant potential drop between the edges of a wafer (where the wafer is connected to the power supply) and its center. The difference in potential results in faster plating at the edges and slower plating at the center of the substrate during the initial plating stage. The resulting film is generally edge-thick and center-thin, meaning that there may be significant plating non-uniformities between the different areas on a wafer. However, the impact of the terminal effect is reduced when a low copper/low acid/high halide concentration electrolyte is used due to the higher impedance/lower conductivity of the electrolyte. Because of the lower electrolyte conductivity (due at least in part to the lower acid concentration), the voltage drop between the wafer edge and center due to resistive seed becomes less significant. The terminal effect is an important consideration when designing electroplating systems, and will be even more important as the industry transitions from 300 to 450 mm wafers. Further, the terminal effect is increasingly important as thinner seed layers are used to accommodate smaller features because the thinner seed layers exhibit higher sheet resistance.
  • As mentioned above, many electroplating processes utilize electrolytes having a relatively low concentration of halide ions, for example about 100 ppm or lower, often 50 ppm or lower, or even 10 ppm or lower in many cases. The halide ions may act as a bridge between the substrate and the organic plating additives. One reason that the halide ion concentration is typically kept low is that high concentrations of chloride ions can result in formation of CuCl, which precipitates out of the electrolyte and causes poor plating results. CuCl has a low solubility in aqueous solutions (solubility product of CuCl, Ksp=1.72×10−7). This issue is particularly problematic in electrolytes having a high concentration of copper ions, as the chloride ions are more likely to form CuCl when more copper ions are available in solution. By contrast, in a low copper electrolyte, the chloride ion concentration can be much higher without precipitating CuCl. Therefore, the use of a high halide concentration as discussed herein is only beneficial in the context of the low copper electrolyte. Similarly high halide concentrations would be detrimental in a conventional high copper electrolyte.
  • Another reason that the halide concentration may be kept low in conventional plating methods is that higher halide ion concentrations may, in a number of cases, result in higher levels of roughness and/or impurities in the resulting electroplated film. However, the low copper/low acid/high halide electrolytes discussed herein have surprisingly not shown such trends, as discussed in relation to FIG. 4F, below. Instead, the electrolytes discussed herein exhibit comparable and commercially acceptable levels of impurities over a wide range of chloride ion concentrations, assuming that the electrolyte is provided with appropriate plating additives, as described herein.
  • FIG. 4B illustrates current density vs. time over the first second of electroplating, when the additives initially adsorb onto the substrate. Five different electrolytes were tested, with halide (chloride) ion concentrations ranging from about 50 ppm to about 400 ppm. The electrolytes each had about 5 g/L copper ions and 10 g/L acid. A constant potential of about −0.8V was applied to the substrates during immersion, and the substrates were rotated at about 300 RPM. The current density increased (became more negative) much more quickly in the cases where higher concentrations of halide ion were used. This indicates that the additives quickly adsorbed onto the substrate to establish bottom-up fill when higher concentrations of halide ion were used. By contrast, where a concentration of only 50 ppm halide ions was used, the current density increased (became more negative) much more slowly, and never reached the same level as the other electrolytes tested. These results indicate that the use of a high halide ion concentration can help establish a desired bottom-up plating regime more rapidly than a low halide ion concentration, at least where a low copper/low acid electrolyte is used. Because of the issues mentioned above with respect to a short filling timeframe, rapid additive adsorption/establishment of bottom-up fill is highly beneficial. The initial additive adsorption strongly affects the quality of feature fill, and rapid adsorption/establishment of bottom-up fill results in high quality deposits.
  • FIG. 4C depicts the polarization rate vs. halide ion concentration for the electrolytes tested in FIG. 4B. The polarization rate (sometimes referred to as the additive adsorption rate) was calculated based on the rate of change of the current density over an initial plating period (e.g., about 50 ms), for the electrolytes described in relation to FIG. 4B. The polarization rate first increases with increasing halide ion concentration, reaching a maximum polarization rate at about 300 ppm. At halide ion concentrations above 300 ppm, the rate of polarization begins to decrease.
  • FIG. 4D illustrates the steady state polarization achieved for six different electrolytes having different halide (chloride) ion concentrations ranging from about 50 ppm to about 400 ppm. The electrolytes included about 5 g/L copper ions and 10 g/L acid. A constant current density of about 10 mA/cm2 was applied to the substrates, which were rotated at about 300 RPM. FIG. 4E depicts the polarization strength of the steady state polarization (taken at about 60 seconds from FIG. 4D) for the different electrolytes tested in relation to FIG. 4D. Notably, the polarization strength increases (becomes more negative) when increasing from about 50 to about 300 ppm halide ions. Above 300 ppm halide ions, the steady state polarization strength begins to decrease.
  • The results in FIGS. 4B-4E suggest that the rate of polarization and strength of polarization can be maximized by controlling the concentration of halide ions between about 150-400 ppm, for example between about 150-300 ppm, or between about 200-300 ppm. Halide ion concentrations below or above these ranges may result in slower and less significant polarization, and therefore lower quality plating results. While the optimal halide concentration for a particular application may depend on the exact composition of the electrolyte, it has been found that halide concentrations within the disclosed ranges produce significant and unexpected benefits, particularly for low copper/low acid electrolytes. One surprising result illustrated in FIGS. 4B-4E is that the increase in polarization rate and polarization strength was not monotonic with increasing halide concentration. In other words, the benefits related to increased halide ion concentration were only relevant within a certain range of halide ion concentrations. Above this concentration (e.g., about 300 ppm halide ions), additional halide ions begin to result in slower and lower degrees of polarization.
  • FIG. 4F depicts four graphs describing the composition of films deposited using electrolytes having halide ion concentrations ranging between about 50-300 ppm. Each graph relates to a different element (C, Cl, S, and N). Notably, the increasing halide concentration did not have a significant impact on the incorporation of impurities into the electroplated film. This result was surprising. It was expected that higher chloride concentrations would result in higher film impurities, for example due to incorporation of chloride (or other species) from the electrolyte into the plated film. However, the data show that this was not the case, and film impurities were fairly stable over a wide range of chloride concentrations. Because it was expected that film impurities would increase with increasing chloride ion concentrations, this result was unexpected.
  • FIG. 4G presents the data of FIG. 4F at a film depth of about 0.7 μm. As explained in relation to FIG. 4F, the film impurities were not significantly affected by the increasing halide ion concentration.
  • FIG. 4H presents defect maps for a variety of substrates electroplated in different electrolytes using different defect inspection recipes. The electrolytes included between about 50-300 ppm halide (chloride) ions. Three inspection recipes were used: Recipe A, Recipe P, and Recipe F. Recipe A is tuned to pick out general defects that are about 0.16 um and larger. Recipe P and Recipe F have higher sensitivity than Recipe A, and are tuned to pick out smaller defects that Recipe A may not be able to detect. Recipe P is specifically tuned to detect small pits and/or voids in addition to larger defects. Recipe F is tuned to detect more surface topography related small defects such as surface roughness, small protrusions, and fine particles. The substrates tested were blanket wafers. The electrolytes tested each included 5 g/L copper ions, 10 g/L acid, 90 ppm or mg/L Cobra accelerator, 160 ppm or mg/L Cobra suppressor, and 16 ppm or mg/L Cobra leveler. The number on the bottom right portion of each defect map indicates the number of defects detected on that wafer. For each recipe, there was not a clear trend between halide ion concentration and the number of defects produced. In other words, the inclusion of relatively high levels of halide ions (e.g., up to about 300 or 400 ppm) does not result in increased defects on the substrate. Based on prior results in the context of conventional (high copper) electrolytes, this result was unexpected. In high copper electrolytes, increasing chloride concentration is known to increase the risk of forming CuCl, which can precipitate out of solution and cause defects on the wafer. The reflectivity of each wafer described in relation to FIG. 4H was also tested. The reflectivity was comparable for all of the substrates, indicating that increasing halide ion concentration does not have a negative effect on reflectivity.
  • FIG. 5A is a graph illustrating the fill fraction for substrates plated in various electrolytes having between 50-300 ppm halide (chloride) ions. Each electrolyte also included Cobra accelerator, Cobra suppressor, and Cobra leveler at 90 ppm, 160 ppm, and 16 ppm or mg/L, respectively. Each substrate was patterned with 56 nm pitch trenches, and plating occurred at about 6.7 mA/cm2, for a duration of about 1 second. Because plating occurred for only 1 second in each case, the fill fraction also represents the fill rate. While higher halide concentrations slightly decreased the fill fraction/fill rate, this decrease was very small. Based on previous experience, it was expected that the increasing halide concentration would decrease the fill fraction/fill rate to a much greater extent. Advantageously, this was not the case. At high halide ion concentrations, the features are filled sufficiently slowly to produce high quality plating results, but not too slow to be commercially feasible. While the decrease in fill fraction/fill rate at high halide concentrations was insignificant, this may not be the case for all features. For example, where large features (e.g., 1 μm features) are filled, the plating time is much longer, which may render even small changes in plating rate significant. However, for various embodiments herein, the features are sufficiently small (e.g., 10-20 nm) and fill sufficiently quickly that the decreased fill rate at higher halide ion concentrations is insignificant.
  • FIG. 5B is a graph illustrating the fill fraction for substrates plated in various electrolytes having between 50-300 ppm halide (chloride) ions. The electrolytes had the same accelerator/suppressor/leveler concentrations as described in relation to FIG. 5A. Plating occurred at about 6.7 mA/cm2 for a duration of about 2 seconds. Because each substrate was plated for the same amount of time, the fill fraction also represents the fill rate. Each substrate was patterned with 50 or 60 nm dual damascene structures (trenches and vias). Two different substrate structures were tested, and Structure 1 had larger features than Structure 2. Like the results in FIG. 5A, the increased halide ion concentration did not have a large result on fill fraction/fill rate. The results in FIGS. 5A and 5B show that high halide concentrations can effectively be used to electroplate copper into various kinds of features without unacceptably slowing or stopping the feature fill.
  • FIG. 6 is a graph describing the voided fraction within a feature vs. chloride ion concentration for substrates plated in electrolytes having a range of chloride ion concentrations between about 50-300 ppm. The substrates plated in relation to FIG. 6 included a patterned array of 48 nm trenches, and had relatively poor seed layers deposited in/on the trenches (120 nm seed). The poor or discontinuous seed coverage was intentionally created to act as a test vehicle for copper nucleation, seed dissolution, or sidewall void study on thin/discontinuous seed. The seed coverage of commercial products is typically much better, and void formation is therefore much less likely/significant than is presented in relation to FIG. 6. Each electrolyte further included accelerator, suppressor, and leveler at the concentrations described in relation to FIG. 5A. The voided fraction was evaluated at both the center and edge of the array of features. Near the edge of the array, the halide ion concentration did not have much effect on the voided fraction within the feature. However, near the center of the array, increasing the halide ion concentration from about 50 to 300 ppm results in a substantial decrease in the voided fraction within the feature. In other words, the relatively higher halide ion concentration ranges described herein can result in significant improvements in feature fill near the center of a substrate/array of features. These improvements may relate to formation of features that have fewer and less extensive voids (if any) compared to substrates plated in electrolytes having conventional (e.g., 100 ppm or lower) halide ion concentrations. This decrease in voided fraction where a poor seed layer is used is a substantial benefit.
  • Without wishing to be bound by theory or mechanism of action, it is believed that the halide ion concentration ranges described herein promote improved nucleation on the seed layer (e.g., compared to lower/conventional halide ion concentrations). This factor is especially beneficial in cases where the seed layer is poor (e.g., oxidized, discontinuous, or otherwise poor quality).
  • In another experiment, a number of blanket substrates were electroplated in low copper (5 g/L), low acid (10 g/L), high halide ion (200 ppm chloride ion) electrolytes. The concentration of accelerator was varied between about 60-200 ppm or mg/L (Cobra accelerator), and the concentration of suppressor was varied between about 100-240 ppm or mg/L (Cobra suppressor). Additionally, the electrolytes included about 16 ppm or mg/L Cobra leveler. Each substrate was tested for defects and reflectivity. The defect and reflectivity behavior was comparable for the various electrolytes. These results indicate that electrolytes having the described composition have a reasonably wide process window in terms of additive concentrations. In other words, the use of a relatively high halide ion concentration does not unacceptably limit the concentration of the additives in the electrolyte. Experiments performed on patterned substrates similarly show that there is a reasonably wide process window for additive concentrations in cases where the substrate is patterned. Generally, higher suppressor concentrations (e.g., 200 ppm and above) may result in a slightly slower fill rate compared to lower suppressor concentrations in cases where a low copper/low acid/high halide ion electrolyte is used. In some cases, the benefits described herein are most prevalent where the concentration of accelerator is about 90 ppm or above.
  • The use of a relatively high concentration of halide ions (within the ranges described herein) can have significant benefits in terms of void formation, especially in cases where the seed coverage is poor. The high concentration of halide ions does not substantially/unacceptably decrease the fill rate, nor does it substantially/unacceptably increase defects or film impurities or limit the process window in terms of additive concentrations. The improved void formation may be a result of the increased rate at which suppression occurs in the electrolyte during and shortly after immersion. The high halide ion concentration may allow the additives (e.g., suppressor and others) to quickly adsorb onto the substrate at appropriate locations to quickly establish bottom-up fill and reduce the likelihood that a void will form.
  • Method of Electroplating with Low Copper/High Halide Electrolyte
  • The electrolyte used in embodiments herein may contain copper ions, acid, water, halide ions, and organic additives such as suppressors, accelerators and levelers. The composition of the electrolyte is described in more detail below. The temperature of the electrolyte during deposition may be between about 25-40° C., for example 30° C., to achieve a reasonable deposition rate and temperature control.
  • In some embodiments, before a wafer enters the electrolyte, a constant potential is applied to the wafer in order to prevent seed dissolution or corrosion when the wafer first enters the electrolyte. The constant potential entry is further described in U.S. Pat. No. 6,551,483, issued Apr. 22, 2003, and incorporated by reference herein. In other embodiments, the potential is dynamic during the initial immersion period. Generally, a high potential is desired during entry in order to provide better seed protection, especially where thin copper seed layers are used. During the entry phase, the copper plating is conformal (i.e., the copper deposition rate is the same at all locations such as the field, sidewalls and bottom of features). In conventional methods, where the entry potential is too high, or where the potential is applied for too long, excessive conformal plating inside the features may lead to seam voids. However, low copper electrolytes allow for high potential entry without causing excessive conformal plating inside the substrate's features because a lower current density may be used. The minimum current density required to support plating in a particular electrolyte at a given mass transfer rate is proportional to the copper concentration of bulk solution. The high constant potential entry voltage followed by plating at a low current density in low copper electrolyte provides better seed protection, fill efficiency and uniformity, and process consistency.
  • In many embodiments herein, after the initial (often potentiostatic) immersion period, a substrate is plated at a relatively low constant current or current density as the features are filled. For example, the substrate may be plated at a current density between about 0.5-5 mA/cm2 during this fill stage. In some cases the current density during this fill stage is about 5 mA/cm2 or less, or about 3 mA/cm2 or less (e.g., between about 3-5 mA/cm2). In certain implementations the current density during the bottom-up fill stage is higher, for example, about 10 mA/cm2 or less (e.g., between about 0.5-10 mA/cm2). The higher current densities can be used when the mass transfer to the substrate is relatively higher. A high mass transfer rate is beneficial during the fill stage in order to avoid copper depletion inside the features, especially at relatively higher current densities. Higher mass transfer rates may be achieved by increasing the flow rate of the electrolyte, as well as by increasing the rotation speed of the electrode, which increases convection to the substrate surface. The mass transfer rate should generally be sufficiently high such that the electrodeposition reaction is kinetically controlled, not mass transfer controlled. In certain embodiments, the current or current density may be dynamic over time. Many suitable electroplating processes and systems may be used to implement the embodiments described herein. Examples of such processes and systems are described in the following U.S. patents and U.S. patent applications, each incorporated herein by reference in its entirety: U.S. Pat. Nos. 6,333,275 and 8,308,931, and U.S. Patent Application No. 61/315,679, filed Mar. 19, 2010 and titled “Electrolyte Loop with Pressure Regulation for Separated Anode Chamber of Electroplating System.”
  • After plating is complete, the substrate may be removed from the electrolyte, rinsed, dried and processed for further use.
  • FIG. 7 shows an example of a method of electroplating copper 700 according to the embodiments described herein. The plating process may be divided into the following basic stages: immersion, fill, overburden and removal. In the immersion stage beginning at block 701, a negative bias is applied to the wafer. At block 703, the biased wafer is immersed into a low copper concentration electrolyte at an angled orientation. The wafer enters the plating bath at a high constant potential between about 1-2V, depending on seed thickness. A higher potential may be applied when the seed is thinner or when the sheet resistance of the seed is higher. The wafer enters the plating bath at an angle (e.g., 2-4 degrees) with respect to the surface of the plating solution in order to avoid trapping air bubbles on the wafer surface. The entry angle may be fixed, or it may be dynamic as the wafer becomes more fully immersed. The vertical speed of the wafer during immersion may be between about 5-300 mm/s, between about 5-200 mm/sec, or between about 100-300 mm/sec in certain implementations. The vertical speed should be relatively fast in order to achieve uniform initial plating across the wafer, but should not be so fast as to cause defect issues due to splashing. The rotation speed of the electrode may be optimized such that there is (1) a low limiting current at high entry voltage during potentiostatic entry, and (2) a uniform initial plating rate across the wafer. A low rotation speed is generally beneficial where there is a low limiting current, while a high rotation speed is generally beneficial to promote uniform initial plating across the wafer. Thus, the entry rotation speed of the electrode should be set at an intermediate level, for example, between about 30-120 RPM. The flow rate of plating solution during the initial immersion stage may be relatively low (e.g., between about 3-6 LPM). After the wafer is fully immersed in the plating bath, the wafer is set to a tilt angle of 0.
  • During the fill stage of plating at block 705, the features on the substrate undergo bottom-up fill as described herein. The current density during the fill stage may be relatively low (e.g., between about 0.5-5 mA/cm2, though it may be higher, e.g., up to about 10 mA/cm2 in some cases). Further, the mass transfer rate during the fill stage may be relatively low in order to avoid diffusion of leveler into the features. For example, the flow rate of electrolyte may be about 6 LPM during this stage. The electrode rotation speed may be relatively low during the fill stage (e.g., between about 12-30 RPM).
  • After the features are filled, an overburden stage occurs at block 707. During the overburden stage, a higher current and/or current density is applied (e.g., between about 10-15 mA/cm2), and a higher rate of mass transfer is used (e.g., an electrolyte flow rate between about 12-20 LPM, and an electrode rotation speed between about 60-120 RPM). Next, at block 709 the wafer may be removed from the electrolyte. At block 711 the wafer may be optionally rinsed, dried and processed for further use. Next, at block 713 the wafer is planarized to remove overburden. Operations 711 and 713 may occur in either order.
  • FIG. 8 shows the fill of 48 nm trenches (i.e., features) plated in two-component plating solutions in three different electrolyte compositions. “Two-component plating solution” means that the electrolyte included both accelerator and suppressor. The left panel of FIG. 8 corresponds to trenches filled in a high copper/high acid electrolyte having 40 g/L Cu2+, 10 g/L acid, 50 ppm Cl, 40 ppm or mg/L accelerator, and 200 ppm or mg/L suppressor. The middle panel corresponds to trenches filled in a low copper/high acid electrolyte having 5 g/L Cu2+, 10 g/L acid, 50 ppm Cl, 20 ppm or mg/L accelerator, and 100 ppm or mg/L suppressor. The right panel corresponds to trenches filled in a low copper/low acid electrolyte having 5 g/L Cu2+, 5 g/L acid, 50 ppm Cl, 20 ppm or mg/L accelerator, and 100 ppm or mg/L suppressor. Each set of trenches was plated for 0.15 seconds in a beaker with a potentiostatic entry at 1V as compared to an Hg/HgSO4 reference electrode, followed by plating at a constant current density of 3 mA/cm2. The rotation speed of the electrode during plating was 100 RPM. The trenches filled in the high copper/high acid solution (FIG. 8, left panel) show conformal plating with significant seam void defects, while the trenches plated in the low copper solutions (FIG. 8, center and right panels) show no such defects. The use of the low copper electrolyte substantially reduced the number and severity of plating defects seen in the features and appears to have eliminated such defects altogether. FIG. 8 focuses on the benefits of low copper and low acid electrolytes, and does not examine the effect of high halide ion concentrations.
  • Composition of Electrolyte
  • Electrolytes used in the embodiments disclosed herein may contain copper ions, acid, water, halide ions, and organic additives such as suppressors, accelerators and levelers. The concentration of copper ions (Cu2+) in the electrolyte may be between about 4-10 g/L, in some cases between about 4-8 g/L or between about 4-5 g/L. The copper ions are typically provided in the form of a copper salt. Example copper salts include, but are not limited to, copper sulfate, copper methanesulfonate, copper pyrophosphate, copper propanesulfonate, etc. The copper concentrations cited herein include only the mass of the copper cations and do not include the mass of any associated anions. The concentration of acid in the electrolyte may be less than about 10 g/L acid. In some cases, the acid concentration is between about 2-15 g/L acid, though in certain implementations the concentration is limited to between about 5-10 g/L. Other implementations of the invention may utilize acid concentrations above 15 g/L. Example acids include, but are not limited to, sulfuric acid and methanesulfonic acid. The acid will dissociate into cations and anions, and the anions are typically the same species as anions formed from the copper salt. The acid concentrations cited herein include the mass of both the hydrogen cation and the associated anion in solution. The acid concentration may be varied to control the conductivity of the plating bath. In addition to increasing the polarization of the electrolyte as described above, low acid electrolytes may be further beneficial because they use less acid (reducing cost), are safer to use, and are easier on the plating equipment (causing less equipment damage over time).
  • The electrolytes used herein are typically aqueous and generally contain halide ions. Example halide ions include, but are not limited to, chloride ions, bromide ions, iodide ions, and combinations thereof. It is believed that halide ions act as bridges to assist the adsorption of organic additives on the copper surface to achieve bottom-up fill of the features. The concentration of halide ions may range between about 150-400 ppm, in some cases between about 150-300 ppm, or between about 200-300 ppm, or between about 250-300 ppm. The halide ion concentrations cited herein include the mass of the halide anions, and do not include the mass of any associated cations. In certain embodiments, the oxygen level of the electrolyte is less than about 1-2 ppm in order to minimize seed oxidation/corrosion in the electrolyte.
  • Next, the electrolyte may contain organic additives such as suppressors, accelerators and/or levelers. A detailed description of the function, interaction and identity of these additives is included above. The concentration of organic additives in the electrolyte may range between about 10-500 mg/L. This concentration corresponds to the mass of active components in the additives and does not include the mass of non-active components. The use of a low copper electrolyte allows plating to occur at relatively low suppressor concentrations as compared to conventional electroplating electrolytes. In certain embodiments, the concentration of suppressor is between about 50-200 ppm, between about 50-300 ppm, and/or below about 200 ppm. Because the concentration of suppressor is relatively low, the electrolyte will tend to have a cloud point that is relatively high. In some embodiments, the cloud point of the electrolyte is between about 40-100° C., between about 50-100° C., or between about 60-100° C.
  • Three example electrolyte compositions are shown in Table 2. These compositions are included for exemplary purposes only, and should not be construed as limiting. Electrolyte 1 has a high chloride ion concentration as described herein.
  • TABLE 2
    Electrolyte 1 Electrolyte 2 Electrolyte 3
    Copper ion concentration 4-20 4-20 4-20
    (g/L)
    Sulfuric acid concentration 5-10 5-10 5-10
    (g/L)
    Halide ion concentration 150-400 10-100 10-100
    (mg/L) mg/L Cl− mg/L Cl; mg/L Br;
    0.5-25
    mg/L Br
    Organic additive 10-500 10-500 10-500
    concentration (mg/L)
  • Apparatus for Plating
  • Many apparatus configurations may be used in accordance with the embodiments described herein. One example apparatus includes a clamshell fixture that seals a wafer's backside away from the plating solution while allowing plating to proceed on the wafer's face. The clamshell fixture may support the wafer, for example, via a seal placed over the bevel of the wafer, or by means such as a vacuum applied to the back of a wafer in conjunction with seals applied near the bevel.
  • The clamshell fixture should enter the bath in a way that allows good wetting of the wafer's plating surface. The quality of substrate wetting is affected by multiple variables including, but not limited to, clamshell rotation speed, vertical entry speed, and the angle of the clamshell relative to the surface of the plating bath. These variables and their effects are further discussed in U.S. Pat. No. 6,551,487, incorporated by reference herein. In certain implementations, the electrode rotation rate is between about 5-125 RPM, the vertical entry speed is between about 5-300 mm/s, and the angle of the clamshell relative to the surface of the plating bath is between about 1-10 degrees. One of the goals in optimizing these variables for a particular application is to achieve good wetting by fully displacing air from the wafer surface.
  • The electrodeposition methods disclosed herein can be described in reference to, and may be employed in the context of, various electroplating tool apparatuses. One example of a plating apparatus that may be used according to the embodiments herein is the Lam Research Sabre tool. Electrodeposition, including substrate immersion, and other methods disclosed herein can be performed in components that form a larger electrodeposition apparatus. FIG. 9 shows a schematic of a top view of an example electrodeposition apparatus. The electrodeposition apparatus 900 can include three separate electroplating modules 902, 904, and 906. The electrodeposition apparatus 900 can also include three separate modules 912, 914, and 916 configured for various process operations. For example, in some embodiments, one or more of modules 912, 914, and 916 may be a spin rinse drying (SRD) module. In other embodiments, one or more of the modules 912, 914, and 916 may be post-electrofill modules (PEMs), each configured to perform a function, such as edge bevel removal, backside etching, and acid cleaning of substrates after they have been processed by one of the electroplating modules 902, 904, and 906.
  • The electrodeposition apparatus 900 includes a central electrodeposition chamber 924. The central electrodeposition chamber 924 is a chamber that holds the chemical solution used as the electroplating solution in the electroplating modules 902, 904, and 906. The electrodeposition apparatus 900 also includes a dosing system 926 that may store and deliver additives for the electroplating solution. A chemical dilution module 922 may store and mix chemicals to be used as an etchant. A filtration and pumping unit 928 may filter the electroplating solution for the central electrodeposition chamber 924 and pump it to the electroplating modules.
  • A system controller 930 provides electronic and interface controls required to operate the electrodeposition apparatus 900. The system controller 930 (which may include one or more physical or logical controllers) controls some or all of the properties of the electroplating apparatus 900. The system controller 930 typically includes one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations as described herein may be executed on the processor. These instructions may be stored on the memory devices associated with the system controller 930 or they may be provided over a network. In certain embodiments, the system controller 930 executes system control software.
  • The system control software in the electrodeposition apparatus 900 may include instructions for controlling the timing, mixture of electrolyte components (including the concentration of one or more electrolyte components), inlet pressure, plating cell pressure, plating cell temperature, substrate temperature, current and potential applied to the substrate and any other electrodes, substrate position, substrate rotation, and other parameters of a particular process performed by the electrodeposition apparatus 900. The system control logic may also include instructions for electroplating under conditions that are tailored to be appropriate for a low copper concentration electrolyte and the high overpotential associated therewith. For example, the system control logic may be configured to provide a relatively low current density during the bottom-up fill stage and/or a higher current density during the overburden stage. The control logic may also be configured to provide certain levels of mass transfer to the wafer surface during plating. For example, the control logic may be configured to control the flow of electrolyte to ensure sufficient mass transfer to the wafer during plating such that the substrate does not encounter depleted copper conditions. In certain embodiments the control logic may operate to provide different levels of mass transfer at different stages of the plating process (e.g., higher mass transfer during the bottom-up fill stage than during the overburden stage, or lower mass transfer during the bottom-up fill stage than during the overburden stage). Further, the system control logic may be configured to maintain the concentration of one or more electrolyte components within any of the ranges disclosed herein. As a particular example, the system control logic may be designed or configured to maintain the concentration of copper cations between about 1-10 g/L. System control logic may be configured in any suitable way. For example, various process tool component sub-routines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable computer readable programming language. The logic may also be implemented as hardware in a programmable logic device (e.g., an FPGA), an ASIC, or other appropriate vehicle.
  • In some embodiments, system control logic includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of an electroplating process may include one or more instructions for execution by the system controller 930. The instructions for setting process conditions for an immersion process phase may be included in a corresponding immersion recipe phase. In some embodiments, the electroplating recipe phases may be sequentially arranged, so that all instructions for an electroplating process phase are executed concurrently with that process phase.
  • The control logic may be divided into various components such as programs or sections of programs in some embodiments. Examples of logic components for this purpose include a substrate positioning component, an electrolyte composition control component, a pressure control component, a heater control component, and a potential/current power supply control component.
  • In some embodiments, there may be a user interface associated with the system controller 930. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • In some embodiments, parameters adjusted by the system controller 930 may relate to process conditions. Non-limiting examples include bath conditions (temperature, composition, and flow rate), substrate position (rotation rate, linear (vertical) speed, angle from horizontal) at various stages, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 930 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of the process tool. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, optical position sensors, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • In one embodiment, the instructions can include inserting the substrate in a wafer holder, tilting the substrate, biasing the substrate during immersion, and electrodepositing a copper containing structure on a substrate.
  • A hand-off tool 940 may select a substrate from a substrate cassette such as the cassette 942 or the cassette 944. The cassettes 942 or 944 may be front opening unified pods (FOUPs). A FOUP is an enclosure designed to hold substrates securely and safely in a controlled environment and to allow the substrates to be removed for processing or measurement by tools equipped with appropriate load ports and robotic handling systems. The hand-off tool 940 may hold the substrate using a vacuum attachment or some other attaching mechanism.
  • The hand-off tool 940 may interface with a wafer handling station 932, the cassettes 942 or 944, a transfer station 950, or an aligner 948. From the transfer station 950, a hand-off tool 946 may gain access to the substrate. The transfer station 950 may be a slot or a position from and to which hand-off tools 940 and 946 may pass substrates without going through the aligner 948. In some embodiments, however, to ensure that a substrate is properly aligned on the hand-off tool 946 for precision delivery to an electroplating module, the hand-off tool 946 may align the substrate with an aligner 948. The hand-off tool 946 may also deliver a substrate to one of the electroplating modules 902, 904, or 906 or to one of the three separate modules 912, 914, and 916 configured for various process operations.
  • An example of a process operation according to the methods described above may proceed as follows: (1) electrodeposit copper onto a substrate to form a copper containing structure in the electroplating module 904; (2) rinse and dry the substrate in SRD in module 912; and, (3) perform edge bevel removal in module 914.
  • An apparatus configured to allow efficient cycling of substrates through sequential plating, rinsing, drying, and PEM process operations may be useful for implementations for use in a manufacturing environment. To accomplish this, the module 912 can be configured as a spin rinse dryer and an edge bevel removal chamber. With such a module 912, the substrate would only need to be transported between the electroplating module 904 and the module 912 for the copper plating and EBR operations.
  • In some implementations, a controller (e.g., system controller 930) is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • An alternative embodiment of an electrodeposition apparatus 1000 is schematically illustrated in FIG. 10. In this embodiment, the electrodeposition apparatus 1000 has a set of electroplating cells 1007, each containing an electroplating bath, in a paired or multiple “duet” configuration. In addition to electroplating per se, the electrodeposition apparatus 1000 may perform a variety of other electroplating related processes and sub-steps, such as spin-rinsing, spin-drying, metal and silicon wet etching, electroless deposition, pre-wetting and pre-chemical treating, reducing, annealing, photoresist stripping, and surface pre-activation, for example. The electrodeposition apparatus 1000 is shown schematically looking top down in FIG. 10, and only a single level or “floor” is revealed in the figure, but it is to be readily understood by one having ordinary skill in the art that such an apparatus, e.g. the Novellus Sabre™ 3 D tool, can have two or more levels “stacked” on top of each other, each potentially having identical or different types of processing stations.
  • Referring once again to FIG. 10, the substrates 1006 that are to be electroplated are generally fed to the electrodeposition apparatus 1000 through a front end loading FOUP 1001 and, in this example, are brought from the FOUP to the main substrate processing area of the electrodeposition apparatus 1000 via a front-end robot 1002 that can retract and move a substrate 1006 driven by a spindle 1003 in multiple dimensions from one station to another of the accessible stations—two front-end accessible stations 1004 and also two front-end accessible stations 1008 are shown in this example. The front-end accessible stations 1004 and 1008 may include, for example, pre-treatment stations, and spin rinse drying (SRD) stations. Lateral movement from side-to-side of the front-end robot 1002 is accomplished utilizing robot track 1002 a. Each of the substrates 1006 may be held by a cup/cone assembly (not shown) driven by a spindle 1003 connected to a motor (not shown), and the motor may be attached to a mounting bracket 1009. Also shown in this example are the four “duets” of electroplating cells 1007, for a total of eight electroplating cells 1007. The electroplating cells 1007 may be used for electroplating copper for the copper containing structure and electroplating solder material for the solder structure. A system controller (not shown) may be coupled to the electrodeposition apparatus 1000 to control some or all of the properties of the electrodeposition apparatus 1000. The system controller may be programmed or otherwise configured to execute instructions according to processes described earlier herein.
  • The electroplating apparatus/methods described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Generally, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film generally comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a work piece, i.e., a substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible, UV, or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or work piece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. As such, various acts illustrated may be performed in the sequence illustrated, in other sequences, in parallel, or in some cases omitted. Likewise, the order of the above described processes may be changed.
  • The subject matter of the present disclosure includes all novel and nonobvious combinations and sub-combinations of the various processes, systems and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.

Claims (26)

What is claimed is:
1. A method of plating copper into damascene features, comprising:
receiving a substrate having a seed thickness of about 200 nanometers, on average, or thinner;
electrically biasing the substrate while immersing the substrate in an aqueous low copper acid-containing electrolyte comprising (i) between about 4-10 grams per liter copper cations, (ii) between about 150-400 ppm chloride ions, and (iii) at least one suppressor compound, whereby the electrolyte induces a cathodic overpotential on the seed sufficient to protect the seed from dissolution by acid in the electrolyte during immersion;
electroplating copper in a process comprising:
(a) a first plating phase to fill the substrate features with copper via a bottom-up fill mechanism, wherein a first current density during the first plating phase is between about 0.5-10 mA/cm2, and
(b) a second plating phase to deposit an overburden layer of copper on the substrate, wherein a second current density during the second plating phase is greater than the first current density, and wherein the first and second plating phases are part of a single electroplating process; and
removing the substrate from the electrolyte.
2. The method of claim 1, wherein the electrolyte further comprises about 2-15 grams per liter acid.
3. The method of claim 2, wherein the electrolyte further comprises about 5-10 grams per liter acid.
4. The method of claim 1, wherein the electrolyte further comprises about 10-500 ppm active organic additives.
5. The method of claim 4, wherein the active organic additives comprise one or more accelerator compound.
6. The method of claim 4, wherein the suppressor compound is a polymeric compound.
7. The method of claim 4, wherein the active organic additives comprise one or more leveler compound.
8. The method of claim 4, wherein the concentration of accelerator is less than about 100 Ppm.
9. The method of claim 1, wherein the electrolyte comprises between about 150-300 ppm chloride ions.
10. The method of claim 1, wherein the electrolyte comprises between about 200-300 ppm chloride ions.
11. The method of claim 1, wherein the substrate has at least some features with openings smaller than about 20 nanometers.
12. The method of claim 1, wherein the electrolyte has a cloud point of about 50° C. or higher, and wherein electroplating occurs at a temperature that is at least about 20° C. lower than the cloud point of the electrolyte.
13. The method of claim 1, wherein the second current density is between about 10 and 15 mA/cm2.
14. The method of claim 1, wherein the pH of the electrolyte is between about 0.2 and 2.
15. The method of claim 1, wherein the substrate is a 450 mm semiconductor wafer.
16. A method of plating copper into damascene features, comprising:
receiving a substrate having a seed thickness of about 200 nanometers, on average, or thinner;
electrically biasing the substrate while immersing the substrate in an aqueous low copper acid-containing electrolyte comprising (i) between about 4-10 grams per liter copper ions, (ii) between about 150-400 ppm chloride ions, (iii) at least one suppressor compound, and (iv) at least one accelerator compound,
during the immersing, electroplating copper into the features by a bottom-up fill mechanism at a first current density, wherein electroplating occurs sufficiently slowly in the electrolyte at the first current density to allow the suppressor and accelerator to adsorb onto the seed and thereby enable bottom-up fill during immersion; and
removing the substrate from the electrolyte.
17. The method of claim 16, wherein the first current density is between about 0.5-10 mA/cm2.
18. The method of claim 16, wherein electroplating copper into the features is performed during a first plating phase, and further comprising a second plating phase comprising electroplating copper to deposit an overburden layer of copper on the substrate at a second current density, wherein the second current density is higher than the first current density.
19. The method of claim 16, wherein the electrolyte further comprises about 2-15 grams per liter acid.
20. The method of claim 16, wherein the electrolyte between about 4-6 grams per liter copper ions.
21. An electrolyte comprising:
between about 1 and 10 grams per liter copper cations;
between about 2 and 15 grams per liter acid;
between about 150-400 ppm chloride ions;
one or more suppressor compound;
one or more accelerator compound; and
the electrolyte having a cloud point above 50° C.
22. The electrolyte of claim 21, wherein the acid is sulfuric acid.
23. The electrolyte of claim 21, wherein the acid is methanesulfonic acid.
24. The electrolyte of claim 21, wherein the chloride ions are provided at a concentration between about 150-300 ppm.
25. The electrolyte of claim 21, wherein the copper cations are provided in a compound that dissociates into cations and anions, and wherein the anions associated with the copper cations are the same species as anions formed from the acid.
26. The electrolyte of claim 21, wherein the concentration of accelerator compound in the electrolyte is less than about 100 ppm.
US14/968,662 2013-01-29 2015-12-14 Low copper/high halide electroplating solutions for fill and defect control Abandoned US20160102416A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/968,662 US20160102416A1 (en) 2013-01-29 2015-12-14 Low copper/high halide electroplating solutions for fill and defect control

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/753,333 US10214826B2 (en) 2013-01-29 2013-01-29 Low copper electroplating solutions for fill and defect control
US14/968,662 US20160102416A1 (en) 2013-01-29 2015-12-14 Low copper/high halide electroplating solutions for fill and defect control

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/753,333 Continuation-In-Part US10214826B2 (en) 2013-01-29 2013-01-29 Low copper electroplating solutions for fill and defect control

Publications (1)

Publication Number Publication Date
US20160102416A1 true US20160102416A1 (en) 2016-04-14

Family

ID=55655057

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/968,662 Abandoned US20160102416A1 (en) 2013-01-29 2015-12-14 Low copper/high halide electroplating solutions for fill and defect control

Country Status (1)

Country Link
US (1) US20160102416A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10214826B2 (en) 2013-01-29 2019-02-26 Novellus Systems, Inc. Low copper electroplating solutions for fill and defect control
CN109881220A (en) * 2019-02-14 2019-06-14 安庆北化大科技园有限公司 Method, conducting base composite material and the application of conducting base growth copper nanometer sheet
CN110073485A (en) * 2016-12-15 2019-07-30 应用材料公司 The electrochemical deposition method of tight gap filling
WO2019199614A1 (en) * 2018-04-09 2019-10-17 Lam Research Corporation Copper electrofill on non-copper liner layers
US10468364B2 (en) * 2016-03-28 2019-11-05 Ebara Corporation Plating method
US20220344203A1 (en) * 2020-03-06 2022-10-27 Changxin Memory Technologies, Inc. Semiconductor structure and method of manufacturing same

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140241A (en) * 1999-03-18 2000-10-31 Taiwan Semiconductor Manufacturing Company Multi-step electrochemical copper deposition process with improved filling capability
US20020011415A1 (en) * 2000-05-23 2002-01-31 Applied Materials, Inc. Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio
US6679983B2 (en) * 2000-10-13 2004-01-20 Shipley Company, L.L.C. Method of electrodepositing copper
US20040245107A1 (en) * 2003-06-03 2004-12-09 Guangli Che Method for improving electroplating in sub-0.1um interconnects by adjusting immersion conditions
US20050020068A1 (en) * 2003-05-23 2005-01-27 Rohm And Haas Electronic Materials, L.L.C. Plating method
US20060175201A1 (en) * 2005-02-07 2006-08-10 Hooman Hafezi Immersion process for electroplating applications
US20090013987A1 (en) * 2003-12-30 2009-01-15 Levent Akdag Oven
US20100126872A1 (en) * 2008-11-26 2010-05-27 Enthone, Inc. Electrodeposition of copper in microelectronics with dipyridyl-based levelers
US20120152749A1 (en) * 2010-12-21 2012-06-21 Shingo Yasuda Electroplating method
US20130098770A1 (en) * 2011-10-24 2013-04-25 Rohm And Haas Electronic Materials Llc Plating bath and method

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140241A (en) * 1999-03-18 2000-10-31 Taiwan Semiconductor Manufacturing Company Multi-step electrochemical copper deposition process with improved filling capability
US20020011415A1 (en) * 2000-05-23 2002-01-31 Applied Materials, Inc. Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio
US6679983B2 (en) * 2000-10-13 2004-01-20 Shipley Company, L.L.C. Method of electrodepositing copper
US20050020068A1 (en) * 2003-05-23 2005-01-27 Rohm And Haas Electronic Materials, L.L.C. Plating method
US20040245107A1 (en) * 2003-06-03 2004-12-09 Guangli Che Method for improving electroplating in sub-0.1um interconnects by adjusting immersion conditions
US20090013987A1 (en) * 2003-12-30 2009-01-15 Levent Akdag Oven
US20060175201A1 (en) * 2005-02-07 2006-08-10 Hooman Hafezi Immersion process for electroplating applications
US20100126872A1 (en) * 2008-11-26 2010-05-27 Enthone, Inc. Electrodeposition of copper in microelectronics with dipyridyl-based levelers
US20120152749A1 (en) * 2010-12-21 2012-06-21 Shingo Yasuda Electroplating method
US20130098770A1 (en) * 2011-10-24 2013-04-25 Rohm And Haas Electronic Materials Llc Plating bath and method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Roles of Chloride Ion in Microvia Filling by Copper Electrodeposition", JES, 152, 2, C67-C76, 2005 (Year: 2005) *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10214826B2 (en) 2013-01-29 2019-02-26 Novellus Systems, Inc. Low copper electroplating solutions for fill and defect control
US10468364B2 (en) * 2016-03-28 2019-11-05 Ebara Corporation Plating method
CN110073485A (en) * 2016-12-15 2019-07-30 应用材料公司 The electrochemical deposition method of tight gap filling
WO2019199614A1 (en) * 2018-04-09 2019-10-17 Lam Research Corporation Copper electrofill on non-copper liner layers
CN112135930A (en) * 2018-04-09 2020-12-25 朗姆研究公司 Copper electro-fill on non-copper liner layer
CN109881220A (en) * 2019-02-14 2019-06-14 安庆北化大科技园有限公司 Method, conducting base composite material and the application of conducting base growth copper nanometer sheet
US20220344203A1 (en) * 2020-03-06 2022-10-27 Changxin Memory Technologies, Inc. Semiconductor structure and method of manufacturing same

Similar Documents

Publication Publication Date Title
KR102364570B1 (en) Low copper electroplating solutions for fill and defect control
TWI723980B (en) Chemistry additives and process for cobalt film electrodeposition
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
US11078591B2 (en) Process for optimizing cobalt electrofill using sacrificial oxidants
US20160102416A1 (en) Low copper/high halide electroplating solutions for fill and defect control
KR102309859B1 (en) Bottom-up fill in damascene features
US11610782B2 (en) Electro-oxidative metal removal in through mask interconnect fabrication
US20210156045A1 (en) Copper electrofill on non-copper liner layers
TW202129088A (en) Differential contrast plating for advanced packaging applications
CN114930518A (en) Interconnect structure with selectively plated via fill
US8268155B1 (en) Copper electroplating solutions with halides
US20160355939A1 (en) Polarization stabilizer additive for electroplating
US20230026818A1 (en) Tsv process window and fill performance enhancement by long pulsing and ramping
US20220102209A1 (en) Electrodeposition of cobalt tungsten films

Legal Events

Date Code Title Description
AS Assignment

Owner name: NOVELLUS SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZHOU, JIAN;REID, JONATHAN DAVID;EDELBERG, ERIK A.;SIGNING DATES FROM 20151211 TO 20151214;REEL/FRAME:037482/0432

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION