US20150053565A1 - Bottom-up fill in damascene features - Google Patents

Bottom-up fill in damascene features Download PDF

Info

Publication number
US20150053565A1
US20150053565A1 US14/010,404 US201314010404A US2015053565A1 US 20150053565 A1 US20150053565 A1 US 20150053565A1 US 201314010404 A US201314010404 A US 201314010404A US 2015053565 A1 US2015053565 A1 US 2015053565A1
Authority
US
United States
Prior art keywords
copper
electrolyte
substrate
electroplating
features
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/010,404
Inventor
Huanfeng ZHU
Jonathan D. Reid
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US14/010,404 priority Critical patent/US20150053565A1/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: REID, JONATHAN D., ZHU, HUANFENG
Priority to TW108129091A priority patent/TWI697589B/en
Priority to TW103129240A priority patent/TWI692555B/en
Priority to KR1020140111787A priority patent/KR102309859B1/en
Publication of US20150053565A1 publication Critical patent/US20150053565A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/60Electroplating characterised by the structure or texture of the layers
    • C25D5/605Surface topography of the layers, e.g. rough, dendritic or nodular layers
    • C25D5/611Smooth layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer

Definitions

  • damascene processing copper is deposited into features on a partially fabricated semiconductor substrate.
  • the conventional copper deposition typically occurs in two steps. First, a copper seed layer is deposited on the substrate using a physical vapor deposition (PVD) process. Next, copper is electroplated on the seed layer to fill the features.
  • PVD physical vapor deposition
  • Non-uniform seed layer coverage is problematic because thin copper seed areas are especially susceptible to oxidation and dissolution in electrolyte during the initial stages of the electroplating process.
  • areas of the seed which are thinner tend to dissolve in electrolyte to yield a discontinuous metal seed layer.
  • electroplating takes place on the discontinuous seed layer, the plating results are non-uniform and defects may be introduced.
  • Certain techniques e.g., immersion at high voltage initial plating conditions, seed pre-treatment, etc. may be used to reduce seed dissolution. However, some amount of seed dissolution is expected, even when these techniques are fully utilized. As such, there exists a need for a method of depositing copper in semiconductor features that does not require deposition of a copper seed layer.
  • One technique that has been developed avoids the use of PVD to deposit the copper seed by directly electroplating the copper seed layer on a semi-noble surface such as a layer of ruthenium.
  • a semi-noble surface such as a layer of ruthenium.
  • the process used to electroplate the seed layer and the process used to subsequently fill the feature require substantially different electrolytes, and the copper electroplating must therefore occur over two discrete processes.
  • Electrolytes used in electroplating copper onto the seed layer in damascene interconnects typically contain a copper salt, an acid, halide ions, an accelerator, a suppressor and a leveler.
  • the copper salt is the copper source for the deposition.
  • Acid is generally used to control the conductivity of the plating bath.
  • Halide ions may act as bridges to assist the adsorption of certain organic additives (e.g., accelerator, suppressor and/or leveler) onto a substrate surface, which encourage a conventional bottom-up fill mechanism, described below.
  • the organic additives are critical to achieving the desired metallurgy, film uniformity, defect control and fill performance.
  • concentration of organic additives can change over time, and careful attention must be paid to tracking the electrolyte composition to ensure proper plating results.
  • the concentration of the additives is very low in many cases, and it is difficult to accurately track the electrolyte composition within the relevant tolerances. Because of this difficulty, a certain portion of substrates may be plated in baths that do not have a proper balance of additives, and may not be suitable for further use.
  • conventional organic additives such as suppressors, accelerators or levelers.
  • a method for performing a one step electrofill process to fill features on a partially fabricated integrated circuit.
  • the method may include (a) receiving a substrate having an exposed semi-noble metal layer and a plurality of features thereon; (b) contacting the substrate with electrolyte having (i) between about 1-100 mM copper cations; and (ii) a complexing agent that forms a complex with the copper cations, where the electrolyte is substantially free of suppressors, accelerators and levelers; and (c) while contacting the electrolyte, electroplating copper into the features by a bottom-up fill mechanism at a substrate potential for electrodeposition between about 0.03 and 0.33 V versus an NHE reference electrode.
  • no suppressor, accelerator, or leveler substantially contributes to the bottom-up fill mechanism.
  • the bottom-up fill may be conducted directly on the semi-noble metal layer, without first forming a seed layer.
  • electroplating copper in operation (c) includes applying a modulated waveform that alternately pulses current at a first level that deposits copper on the substrate and a second level that etches copper from copper that was previously electroplated on the substrate.
  • the second level of current that etches copper may have an absolute value below about 0.1 mA for a 300 mm diameter wafer.
  • the pulses of current alternate between the first current level and the second current level with a frequency between about 100-1000 Hz.
  • the electroplating surface of the substrate may experience a current density of between about 0.004-0.4 mA/cm 2 .
  • the complexing agent is selected from the group consisting of ethylenediaminetetraacetic acid (EDTA), nitrilotriacetric acid (NTA), citric acid, and glutamic acid.
  • EDTA ethylenediaminetetraacetic acid
  • NTA nitrilotriacetric acid
  • citric acid and glutamic acid.
  • glutamic acid In a particular case, the complexing agent is EDTA.
  • the electrolyte may be at or above about room temperature. In one embodiment, the electrolyte is held at a temperature between about 20-80° C., for example between about 50-70° C.
  • the pH of the electrolyte may be between about 1-5 in some cases between about 1.5-3.5.
  • the dissolved oxygen content of the electrolyte may be about 2 ppm or lower.
  • the methods herein may be used to plate on a variety of different metals.
  • the semi-noble metal layer includes a material selected from the group consisting of ruthenium, tungsten, cobalt, osmium, platinum, palladium, aluminum, gold, silver, iridium, and rhodium.
  • the semi-noble metal layer is ruthenium.
  • at least some of the features on the semiconductor substrate have an opening width of about 100 nm or less. For instance, in some cases the features have a width of about 20 nm or less.
  • a method of depositing copper in a feature on a partially fabricated integrated circuit may include (a) receiving a substrate having a plurality of features and a copper seed layer thereon; (b) contacting the substrate with electrolyte having between about 1-100 mM copper cations, where the electrolyte is substantially free of suppressors, accelerators and levelers; and (c) electroplating copper into the feature by a bottom-up fill mechanism at a potential between about 0.03-0.33 V versus an NHE reference electrode.
  • the electrolyte is held at a temperature between about 20-80° C. during electroplating, for example between about 20-50° C.
  • the electroplating surface of the substrate may experience a current density of between about 0.004-0.4 mA/cm 2 .
  • a pH of the electrolyte may be between about 1-5, for example between about 1.5-3.5.
  • the disclosed methods may be used to fill relatively small features. In some cases, at least some of the features have a width of about 100 nm or less, for example between about 20 nm or less.
  • electroplating copper in operation (c) includes applying a galvanostatically controlled current to the substrate.
  • FIG. 1 shows a flowchart for a method of electroplating copper into a feature on a substrate having an exposed semi-noble layer.
  • FIG. 2 shows a flowchart for a method of electroplating copper into a feature on a substrate having an exposed copper seed layer.
  • FIG. 3 depicts an exemplary multi-station apparatus in accordance with the disclosed embodiments.
  • FIG. 4 depicts an alternative implementation of a multi-station apparatus in accordance with the disclosed embodiments.
  • FIG. 5 is a graph depicting the relative polarization effect of different complexing agents in electrolyte.
  • FIG. 6 is a graph showing the relative polarization effect of different copper cation concentrations and different pH levels in electrolyte.
  • FIG. 7 is a graph showing the relative polarization effect of different electrolyte temperatures.
  • FIGS. 8A-8C show SEM images of ruthenium seeded trench coupons plated at 0.4 mA ( FIG. 8A ), 0.6 mA ( FIG. 8B ), and 1 mA ( FIG. 8C ).
  • FIGS. 9A-9C show SEM images of ruthenium seeded trench coupons plated using modulated waveforms at room temperature ( FIG. 9A ), 50° C. ( FIG. 9B ), and 70° C. ( FIG. 9C ).
  • FIGS. 10A and 10B show SEM images of ruthenium seeded trench coupons plated in electrolytes containing NTA ( FIG. 10A ) and glutamic acid ( FIG. 10B ) as complexing agents.
  • FIGS. 11A-11C and 12 A- 12 C show cross-sectional ( FIGS. 11A-11C ) and top-down ( FIGS. 12A-12C ) SEM images of copper seeded trench coupons plated at different temperatures.
  • FIG. 13 depicts a TEM image of a copper seeded trench coupon plated in electrolyte lacking a complexing agent.
  • semiconductor wafer semiconductor wafer
  • wafer semiconductor wafer
  • substrate substrate
  • wafer substrate semiconductor substrate
  • partially fabricated integrated circuit can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon.
  • a wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm.
  • electroplating bath plating bath
  • bath bath
  • plat solution plating solution
  • suppressors are surface-kinetic polarizing compounds that lead to a significant increase in the voltage drop across the substrate-electrolyte interface, especially when present in combination with a surface chemisorbing halide (e.g., chloride or bromide).
  • the halide may act as a bridge between the suppressor molecules and the wafer surface.
  • the suppressor both (1) increases the local polarization of the substrate surface at regions where the suppressor is present relative to regions where the suppressor is absent, and (2) increases the polarization of the substrate surface generally.
  • the increased polarization corresponds to increased resistivity/impedance and therefore slower plating at a particular applied potential.
  • suppressors adsorb onto a substrate surface, it is believed that they are not incorporated into the deposited film and may slowly degrade over time. Compounds which do not principally act by adsorbing onto a substrate surface are not considered suppressors.
  • Suppressors are often relatively large molecules, and in many instances they are polymeric in nature (e.g., polyethylene oxide, polypropylene oxide, polyethylene glycol, polypropylene glycol, etc).
  • Other examples of suppressors include polyethylene and polypropylene oxides with S ⁇ and/or N ⁇ containing functional groups, block polymers of polyethylene oxide and polypropylene oxides, etc.
  • the suppressors can have linear chain structures or branch structures. It is common that suppressor molecules with various molecular weights co-exist in a commercial suppressor solution. Due in part to suppressors' large size, the diffusion of these compounds into a recessed feature is relatively slow.
  • accelerators tend to locally reduce the polarization effect associated with the presence of suppressors, and thereby locally increase the electrodeposition rate.
  • the reduced polarization effect is most pronounced in regions where the adsorbed accelerator is most concentrated (i.e., the polarization is reduced as a function of the local surface concentration of adsorbed accelerator).
  • Example accelerators include, but are not limited to, dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and their derivatives.
  • SPS bis-(3-sulfopropyl) disulfide
  • the accelerator may become strongly adsorbed to the substrate surface and generally laterally-surface immobile as a result of the plating reactions, the accelerator is generally not incorporated into the film. Thus, the accelerator remains on the surface as metal is deposited. As a recess is filled, the local accelerator concentration increases on the surface within the recess. Accelerators tend to be smaller molecules and exhibit faster diffusion into recessed features, as compared to suppressors. Compounds which do not principally act by adsorbing onto a substrate surface are not considered to be accelerators.
  • levelers act as suppressing agents to counteract the depolarization effect associated with accelerators, especially in the field region and at the side walls of a feature.
  • the leveler may locally increase the polarization/surface resistance of the substrate, thereby slowing the local electrodeposition reaction in regions where the leveler is adsorbed.
  • the local concentration of levelers is determined to some degree by mass transport. Therefore, levelers act principally on surface structures having geometries that protrude away from the surface. This action “smooths” the surface of the electrodeposited layer. It is believed that leveler reacts or is consumed at the substrate surface at a rate that is at or near a diffusion limited rate, and therefore, a continuous supply of leveler is often beneficial in maintaining uniform plating conditions over time.
  • Leveler compounds are generally classified as levelers based on their electrochemical function and impact and do not require specific chemical structure or formulation. However, levelers often contain one or more nitrogen, amine, imide or imidazole, and may also contain sulfur functional groups. Compounds which do not principally act by adsorbing onto a substrate surface are not considered levelers. Certain levelers include one or more five and six member rings and/or conjugated organic compound derivatives. Nitrogen groups may form part of the ring structure. In amine-containing levelers, the amines may be primary, secondary or tertiary alkyl amines. Furthermore, the amine may be an aryl amine or a heterocyclic amine.
  • Example amines include, but are not limited to, dialkylamines, trialkylamines, arylalkylamines, triazoles, imidazole, triazole, tetrazole, benzimidazole, benzotriazole, piperidine, morpholines, piperazine, pyridine, oxazole, benzoxazole, pyrimidine, quonoline, and isoquinoline. Imidazole and pyridine may be especially useful.
  • Leveler compounds may also include ethoxide groups.
  • the leveler may include a general backbone similar to that found in polyethylene glycol or polyethyelene oxide, with fragments of amine functionally inserted over the chain (e.g., Janus Green B).
  • Example epoxides include, but are not limited to, epihalohydrins such as epichlorohydrin and epibromohydrin, and polyepoxide compounds. Polyepoxide compounds having two or more epoxide moieties joined together by an ether-containing linkage may be especially useful. Some leveler compounds are polymeric, while others are not. Example polymeric leveler compounds include, but are not limited to, polyethylenimine, polyamidoamines, and reaction products of an amine with various oxygen epoxides or sulfides. One example of a non-polymeric leveler is 6-mercapto-hexanol. Another example leveler is polyvinylpyrrolidone (PVP).
  • PVP polyvinylpyrrolidone
  • a recessed feature on a plating surface tends to be plated with metal from the bottom to the top of the feature, and inward from the side walls towards the center of the feature. It is important to control the deposition rate within the feature and in the field region in order to achieve uniform filling and avoid incorporating voids into the features.
  • the three types of additives described above are necessary in accomplishing bottom-up fill, each working to selectively increase or decrease the polarization at the substrate surface.
  • the suppressor After the substrate is immersed in electrolyte, the suppressor adsorbs onto the surface of the substrate, especially in exposed regions such as the field region.
  • the initial plating stages there is a substantial differential in suppressor concentration between the top and bottom of a recessed feature. This differential is present due to the relatively large size of the suppressor molecule and its correspondingly slow transport properties.
  • accelerator accumulates at a low, substantially uniform concentration over the entire plating surface, including the bottom and side walls of the feature. Because the accelerator diffuses into features more rapidly than the suppressor, the initial ratio of accelerator:suppressor within the feature (especially at the feature bottom) is relatively high.
  • the relatively high initial accelerator:suppressor ratio within the feature promotes rapid plating from the bottom of the feature upwards and from the sidewalls inwards. Meanwhile, the initial plating rate in the field region is relatively low due to the lower ratio of accelerator:suppressor. Thus, in the initial plating stages, plating occurs relatively faster within the feature and relatively slower in the field region.
  • the feature fills with metal and the surface area within the feature is reduced. Because of the decreasing surface area and the accelerator substantially remaining on the surface, the local surface concentration of accelerator within the feature increases as plating continues. This increased accelerator concentration within the feature helps maintain the differential plating rate beneficial for bottom-up fill.
  • the accelerator may build up in certain regions (e.g., above filled features) undesirably, resulting in local faster-than-desired plating.
  • Leveler is conventionally used to counteract this effect. The surface concentration of leveler is greatest at exposed regions of a surface (i.e., not within recessed features) and where convection is greatest. It is believed that the leveler displaces accelerator, increases the local polarization and decreases the local plating rate at regions of the surface that would otherwise be plating at a rate greater than at other locations on the deposit.
  • the leveler tends, at least in part, to reduce or remove the influence of an accelerating compound at the exposed regions of a surface, particularly at protruding structures.
  • a feature may tend to overfill and produce a bump in the absence of leveler. Therefore, in the later stages of conventional bottom-up fill plating, levelers are beneficial in producing a relatively flat deposit.
  • suppressor, accelerator and leveler in combination, allow a feature to be filled without voids from the bottom-up and from the sidewalls-inward, while producing a relatively flat deposited surface.
  • the exact identity/composition of the additive compounds are typically maintained as trade secrets by the additive suppliers, thus, information about the exact nature of these compounds is not publicly available.
  • One aspect of the disclosed embodiments is a method of electroplating copper into features on a semiconductor substrate having an exposed semi-noble metal liner.
  • copper is electroplated directly onto the semi-noble liner, rather than on a copper seed layer.
  • the electrolyte in this implementation may include a complexing agent that complexes with copper in the solution, the electrolyte is substantially free of organic additives such as suppressor, accelerator and leveler. Where some small amount of organic additives are present, it may be the case that the organic additives do not substantially contribute to the bottom-up fill mechanism. In other words, the bottom-up fill would occur even in the absence of the organic additives, when plating under otherwise identical plating conditions.
  • Another aspect of the disclosed embodiments is a method of electroplating copper into features on a semiconductor substrate having an exposed copper seed layer. As with the previous embodiment, this method may be performed with electrolyte that is substantially free of suppressor, accelerator and leveler. Despite the absence of organic additives, the disclosed methods achieve a bottom-up fill mechanism to fill the features.
  • copper is electroplated on an exposed semi-noble metal liner layer.
  • the semi-noble metal liner layer may be ruthenium, cobalt, tungsten, osmium, platinum, palladium, aluminum, gold, silver, iridium, rhodium, or a combination thereof.
  • a substrate having an exposed semi-noble layer is provided in an electroplating cell and immersed in electrolyte having particular characteristics, as discussed below. Current is applied to the substrate to promote nucleation, followed by Volmer-Weber growth, to thereby form three-dimensional copper islands. The copper islands continue to grow until they coalesce into a continuous copper film.
  • the applied current depends on the composition of the electrolyte, but is generally controlled to provide a voltage between about 0 and 4V versus a normal hydrogen electrode (NHE), or between about 0.03 and 0.33 V versus the NHE.
  • NHE normal hydrogen electrode
  • the electrolyte may be designed to help promote a high nucleation density.
  • One way to promote high nucleation density is to use conditions that result in a relatively more polarized electrolyte.
  • Increased electrolyte polarization can be achieved by using certain complexing agents such as ethylenediaminetetraacetic acid (EDTA), nitrilotriacetic acid (NTA), citric acid, glutamic acid, etc. in combination with low copper concentrations.
  • EDTA ethylenediaminetetraacetic acid
  • NTA nitrilotriacetic acid
  • citric acid citric acid
  • glutamic acid etc.
  • complexing agents form complexes with copper ion dissolved in the electrolyte.
  • Complexing agents bind with copper ions by, e.g., electrostatic interactions and form a soluble complex.
  • complexing agents are shaped to partially enclose complexed copper ions and partially shield the copper ions.
  • Complexing agents do not appreciably adsorb onto the surface of the substrate, at least not to the extent of conventional plating additives such as suppressors, accelerators, and levelers.
  • the complexing agents employed herein are not suppressor compounds (or accelerators or levelers). The polarization effects of complexers such as those mentioned above are illustrated below in the Experimental section.
  • the complexing agents promote high nucleation density. Although the complexing agents are not suppressors (because they principally act by forming complexes with copper in solution, rather than acting by adsorbing onto the substrate surface), the complexing agents do serve a suppressor-like function to increase the overpotential of the copper electrodeposition.
  • the concentration of complexing agent is between about 1-100 mM, for example between about 1-20 mM or between about 5-10 mM.
  • the concentration of complexing agent may be substantially similar (e.g., within about 30%) to the concentration of copper cations, as measured in molar concentration. In some cases, these concentrations are substantially equimolar (e.g., within about 10%, or within about 5%).
  • the concentration of complexing agent and the concentration of copper cations are exactly equimolar. Equimolar concentrations of copper cations and complexing agents may be beneficial as the copper and complexing agents together form a complex in a 1:1 ratio. In other cases, these concentrations vary more considerably. In some embodiments, the concentration of complexing agent may be higher than the concentration of copper cations. Having a stoichiometric excess of complexing agent may be beneficial in certain embodiments, as this may help achieve a higher fraction of complexed copper cations, which may contribute to achieving a high nucleation density on a semi-noble metal surface.
  • the complexing agent may be omitted.
  • a modulated waveform may be used to help promote bottom-up plating. Modulated waveforms are discussed further below.
  • the low copper concentration further contributes to the relatively high polarization of the electrolyte.
  • the concentration of copper cations is between about 1-100 mM, for example between about 1-20 mM, or between about 5-10 mM. The effect of different copper concentrations on the polarization of solution is further discussed below in the Experimental section.
  • the pH of the electrolyte is between about 1-5, for example between about 1.5-3.5. The effect of different electrolyte pH is further discussed below in the Experimental section.
  • deposition occurs at a temperature between about 20-80° C., for example between about 50-70° C.
  • Conventional bottom-up fill processes typically occur at about 20-25° C.
  • One benefit of the disclosed embodiments is that where filling occurs at an elevated temperature, the deposition rate may be higher than in the conventional processes, which generally take place at lower temperatures.
  • the waveform applied to drive electrodeposition may also have an effect on the fill mechanism.
  • a DC current is used (e.g., with galvanostatic or galvanodynamic control).
  • a modulated waveform is used (e.g., with current alternating between deposition and etching currents). The use of a modulated waveform may result in a film that is less conformal, which is beneficial in the context of bottom-up fill.
  • the maximum current used for deposition is affected by the availability of copper at the substrate-electrolyte interface. If the current goes above an acceptable level, the electrolyte may experience copper depletion, resulting in poor deposition results. In other words, there may be an insufficient amount of copper at the interface to sustain the reduction reaction at the relevant level of current. Instead, a parasitic reaction may occur to sustain the current delivered to the substrate. For example, the electrolyte itself may begin to decompose and generate gasses at the plating interface, causing non-uniform plating and in some cases the formation of nodular growths on the substrate.
  • the maximum current during etching is typically limited only by hardware limitations, though care should be taken to ensure that the current is not so high as to fully remove the previously deposited metal.
  • a current level used to deposit material is between about 0.001-1.5 A, for example between about 0.05-1.4 A, or between about 0.05-1 A (based on a 300 mm wafer).
  • the absolute value of a current level used to etch material is between about 0.035-0.25 A, for example between about 0.04-0.2 A, or below about 0.1 A (based on a 300 mm wafer).
  • the current used to etch material is negative.
  • the current density during electroplating may be between about 0.1-2 mA/cm 2 .
  • the current density during etching may be between about 0.05-0.3 mA/cm 2 .
  • a frequency of the waveform may be between about 100-1000 Hz.
  • the waveform may alternate between a deposition current and an etching current at the disclosed frequency.
  • a modulated waveform when used, it may result in a redistribution of material on and in the feature.
  • copper may be selectively etched near the top portion of the feature. The copper farther down in the feature, near the feature bottom, is less likely to be etched away. This selective etching may effectively reduce the surface area of copper within the feature that is available (and favorable) for plating.
  • copper may tend to deposit more toward the bottom of the feature, where the remaining copper is concentrated, as the energy required to deposit in this region may be lower than in regions near the top of the feature.
  • the deposition may occur more heavily near the feature bottom as compared to the feature top, and the etching may occur more heavily near the feature top as compared to the feature bottom.
  • copper may be redistributed within the feature to achieve bottom-up fill.
  • Another factor which may contribute to the bottom-up fill mechanism is the relatively low deposition rate. Because plating occurs over a longer period of time, the copper has more time to redistribute in the feature to provide a good fill result.
  • the mechanism of action that promotes bottom-up fill may be somewhat different.
  • a complexing agent e.g., a relatively weak complexing agent such as NTA and/or glutamic acid
  • the fill mechanism may become less conformal, leading to a bottom-up fill of the feature.
  • the choice of complexing agent, the concentration of copper in electrolyte, the electrolyte pH and the electrolyte temperature all affect the polarization of the solution.
  • Bottom-up fill has been shown to reliably occur where the substrate is maintained at a potential between about 0.03 to 0.33 V vs. an NHE reference electrode. This voltage range has been shown to be successful in promoting bottom-up fill.
  • this voltage corresponds to a potential between about ⁇ 0.3 to ⁇ 0.6 V (e.g., about ⁇ 0.4 to ⁇ 0.5 V) vs. a mercury sulfate reference electrode (MSE), as used in the experiments described below.
  • MSE mercury sulfate reference electrode
  • FIG. 1 provides a flowchart depicting a method of filling a feature on a semiconductor substrate having an exposed semi-noble metal layer.
  • the process 100 begins at block 101 , where a substrate having an exposed semi-noble metal layer is received/provided in an electrodeposition chamber.
  • the substrate typically has features thereon which are to be filled through the electrodeposition process.
  • the features may be trenches that are between about 10-100 nm wide, for example between about 50-100 nm wide.
  • the feature may have a width of about 100 nm or less, for example about 20 nm or less.
  • the substrate is contacted with electrolyte that is substantially free of suppressor, accelerator and leveler compounds.
  • the electrolyte may have the characteristics described above such as a complexing agent, a low concentration of copper cations, and a particular pH and/or temperature. These factors may contribute to a relatively highly polarized electrolyte.
  • current is applied to the substrate.
  • the applied current may be a direct current or a modulated current, and is designed to maintain a substrate potential between about 0.03-0.33 V vs. an NHE reference electrode. This substrate potential, in combination with the disclosed electrolyte, promotes bottom-up fill without the use of organic plating additives.
  • the method disclosed above relating to electrodeposition of copper on a semi-noble metal layer may be extended to plating on a copper seed layer. While this embodiment may not achieve the benefit of a one-step fill (as the copper seed layer is deposited separately from the copper fill material), this embodiment does capture the advantage of electroplating copper through bottom-up fill without the use of organic plating additives.
  • the teachings disclosed above related to electrolyte composition/pH/temperature/waveform apply to plating on copper seed layers, as well.
  • some of the considerations above are less important when plating on a copper seed layer, and other considerations may be more important.
  • the complexing agent may be omitted from the electrolyte.
  • the complexing agent may be more important in the context of plating on a semi-noble metal layer, as the degree of polarization necessary to achieve proper plating results may be higher when plating on semi-noble layers as compared to plating on copper.
  • the use of a modulated waveform is somewhat more complicated.
  • the applied current may be galvanostatic or galvanodynamic.
  • the extra complication arises because there is a chance that in some region on the substrate, all of the copper (including the copper seed layer) could be dissolved during an etching portion of a modulated waveform. If this occurs, then there will be no appropriate surface on which to electroplate in this region, and the plating results will be poor.
  • bottom-up fill may be achieved using the disclosed method with a modulated waveform, care should be taken to avoid seed dissolution. Therefore, the etching portion of a waveform may be delayed until a sufficient amount of copper is plated in an initial portion of the plating sequence.
  • Plating on a copper seed layer may also be accomplished using a direct current waveform.
  • the optimal deposition temperature may be lower in the embodiment employing a copper seed layer as compared to the embodiment where plating occurs directly on a semi-noble metal layer. In some cases, when plating on a copper seed, the temperature is maintained between about 20-80° C., for example between about 20-50° C.
  • the mechanism for bottom-up fill on copper seed may be similar to the bottom-up fill mechanism described above in relation to plating on a semi-noble metal layer such as ruthenium. However, in various cases when plating on copper seed, it is not necessary to use a complexing agent or a modulated waveform to promote nucleation on the copper seed layer.
  • FIG. 2 provides a flowchart for a method of electroplating copper onto a copper seed layer.
  • the process 200 begins at block 201 , where a substrate having an exposed layer of copper seed is received/provided in an electrodeposition chamber.
  • the substrate will generally have features thereon which are to be filled through the electrodeposition process. In some cases, the features may be trenches that are between about 10-100 nm wide, for example between about 50-100 nm wide.
  • the substrate is contacted with electrolyte that is substantially free of suppressor, accelerator and leveler compounds.
  • the electrolyte may have the characteristics described above such as a complexing agent, a low concentration of copper cations, and a particular pH and/or temperature.
  • no complexing agent is used.
  • current is applied to the substrate.
  • the applied current may be a direct current or a modulated current, and is designed to maintain a substrate potential between about 0.03-0.33 V vs. an NHE reference electrode. This substrate potential, in combination with the disclosed electrolyte, promotes bottom-up fill without the use of organic plating additives.
  • One example apparatus includes a clamshell fixture that seals a wafer's backside away from the plating solution while allowing plating to proceed on the wafer's face.
  • the clamshell fixture may support the wafer, for example, via a seal placed over the bevel of the wafer, or by means such as a vacuum applied to the back of a wafer in conjunction with seals applied near the bevel.
  • the clamshell fixture should enter the bath in a way that allows good wetting of the wafer's plating surface.
  • the quality of substrate wetting is affected by multiple variables including, but not limited to, clamshell rotation speed, vertical entry speed, and the angle of the clamshell relative to the surface of the plating bath. These variables and their effects are further discussed in U.S. Pat. No. 6,551,487, incorporated by reference herein.
  • the electrode rotation rate is between about 5-125 RPM
  • the vertical entry speed is between about 5-300 mm/s
  • the angle of the clamshell relative to the surface of the plating bath is between about 1-10 degrees.
  • One of the goals in optimizing these variables for a particular application is to achieve good wetting by fully displacing air from the wafer surface.
  • Electrodeposition, including substrate immersion, and other methods disclosed herein can be performed in components that form a larger electrodeposition apparatus.
  • FIG. 3 shows a schematic of a top view of an example electrodeposition apparatus.
  • the electrodeposition apparatus 900 can include three separate electroplating modules 902 , 904 , and 906 .
  • the electrodeposition apparatus 900 can also include three separate modules 912 , 914 , and 916 configured for various process operations.
  • one or more of modules 912 , 914 , and 916 may be a spin rinse drying (SRD) module.
  • one or more of the modules 912 , 914 , and 916 may be post-electrofill modules (PEMs), each configured to perform a function, such as edge bevel removal, backside etching, and acid cleaning of substrates after they have been processed by one of the electroplating modules 902 , 904 , and 906 .
  • PEMs post-electrofill modules
  • the electrodeposition apparatus 900 includes a central electrodeposition chamber 924 .
  • the central electrodeposition chamber 924 is a chamber that holds the chemical solution used as the electroplating solution in the electroplating modules 902 , 904 , and 906 .
  • the electrodeposition apparatus 900 also includes a dosing system 926 that may store and deliver electrolyte components for the electroplating solution.
  • a chemical dilution module 922 may store and mix chemicals to be used as an etchant.
  • a filtration and pumping unit 928 may filter the electroplating solution for the central electrodeposition chamber 924 and pump it to the electroplating modules.
  • a system controller 930 provides electronic and interface controls required to operate the electrodeposition apparatus 900 .
  • the system controller 930 (which may include one or more physical or logical controllers) controls some or all of the properties of the electroplating apparatus 900 .
  • the system controller 930 typically includes one or more memory devices and one or more processors.
  • the processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations as described herein may be executed on the processor. These instructions may be stored on the memory devices associated with the system controller 930 or they may be provided over a network. In certain embodiments, the system controller 930 executes system control software.
  • the system control software in the electrodeposition apparatus 900 may include instructions for controlling the timing, mixture of electrolyte components (including the concentration of one or more electrolyte components), inlet pressure, plating cell pressure, plating cell temperature, substrate temperature, current and potential applied to the substrate and any other electrodes, substrate position, substrate rotation, and other parameters of a particular process performed by the electrodeposition apparatus 900 .
  • the system control logic may also include instructions for electroplating under conditions that are tailored to be appropriate for a low copper concentration electrolyte and the relatively high overpotential associated therewith. For example, the system control logic may be configured to provide a relatively low current density during a bottom-up fill. The control logic may also be configured to provide certain levels of mass transfer to the wafer surface during plating.
  • control logic may be configured to control the flow of electrolyte to ensure sufficient mass transfer to the wafer during plating such that the substrate does not encounter depleted copper conditions.
  • control logic may operate to provide different levels of mass transfer at different stages of the plating process (e.g., higher mass transfer during a bottom-up fill stage than during an overburden stage, or lower mass transfer during the bottom-up fill stage than during the overburden stage).
  • system control logic may be configured to maintain the concentration of one or more electrolyte components, or the pH of the electrolyte, within any of the ranges disclosed herein.
  • the system control logic may be designed or configured to maintain the concentration of copper cations between about 1-100 mM.
  • system control logic may be configured to apply current so as to maintain the substrate at a potential between about 0.03-0.33 V vs. an NHE electrode.
  • System control logic may be configured in any suitable way. For example, various process tool component sub-routines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes.
  • System control software may be coded in any suitable computer readable programming language.
  • the logic may also be implemented as hardware in a programmable logic device (e.g., an FPGA), an ASIC, or other appropriate vehicle.
  • system control logic includes input/output control (IOC) sequencing instructions for controlling the various parameters described above.
  • IOC input/output control
  • each phase of an electroplating process may include one or more instructions for execution by the system controller 930 .
  • the instructions for setting process conditions for an immersion process phase may be included in a corresponding immersion recipe phase.
  • the electroplating recipe phases may be sequentially arranged, so that all instructions for an electroplating process phase are executed concurrently with that process phase.
  • control logic may be divided into various components such as programs or sections of programs in some embodiments.
  • logic components for this purpose include a substrate positioning component, an electrolyte composition control component, a pressure control component, a heater control component, and a potential/current power supply control component.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • parameters adjusted by the system controller 930 may relate to process conditions.
  • process conditions include bath conditions (temperature, composition, pH, flow rate, etc.), substrate position (rotation rate, linear (vertical) speed, angle from horizontal, etc.) and electrical conditions (current, potential, etc.) at various stages, etc.
  • bath conditions temperature, composition, pH, flow rate, etc.
  • substrate position rotation rate, linear (vertical) speed, angle from horizontal, etc.
  • electrical conditions current, potential, etc.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 930 from various process tool sensors.
  • the signals for controlling the process may be output on the analog and digital output connections of the process tool.
  • process tool sensors include mass flow controllers, pressure sensors (such as manometers), thermocouples, optical position sensors, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • the instructions can include inserting the substrate in a wafer holder, tilting the substrate, biasing the substrate during immersion, and electrodepositing a copper containing structure on a substrate.
  • a hand-off tool 940 may select a substrate from a substrate cassette such as the cassette 942 or the cassette 944 .
  • the cassettes 942 or 944 may be front opening unified pods (FOUPs).
  • a FOUP is an enclosure designed to hold substrates securely and safely in a controlled environment and to allow the substrates to be removed for processing or measurement by tools equipped with appropriate load ports and robotic handling systems.
  • the hand-off tool 940 may hold the substrate using a vacuum attachment or some other attaching mechanism.
  • the hand-off tool 940 may interface with a wafer handling station 932 , the cassettes 942 or 944 , a transfer station 950 , or an aligner 948 . From the transfer station 950 , a hand-off tool 946 may gain access to the substrate.
  • the transfer station 950 may be a slot or a position from and to which hand-off tools 940 and 946 may pass substrates without going through the aligner 948 . In some embodiments, however, to ensure that a substrate is properly aligned on the hand-off tool 946 for precision delivery to an electroplating module, the hand-off tool 946 may align the substrate with an aligner 948 .
  • the hand-off tool 946 may also deliver a substrate to one of the electroplating modules 902 , 904 , or 906 or to one of the three separate modules 912 , 914 , and 916 configured for various process operations.
  • An example of a process operation according to the methods described above may proceed as follows: (1) electrodeposit copper onto a substrate to form a copper containing structure in the electroplating module 904 ; (2) rinse and dry the substrate in SRD in module 912 ; and, (3) perform edge bevel removal in module 914 .
  • the module 912 can be configured as a spin rinse dryer and an edge bevel removal chamber. With such a module 912 , the substrate would only need to be transported between the electroplating module 904 and the module 912 for the copper plating and EBR operations.
  • an electrodeposition apparatus 1000 is schematically illustrated in FIG. 4 .
  • the electrodeposition apparatus 1000 has a set of electroplating cells 1007 , each containing an electroplating bath, in a paired or multiple “duet” configuration.
  • the electrodeposition apparatus 1000 may perform a variety of other electroplating related processes and sub-steps, such as spin-rinsing, spin-drying, metal and silicon wet etching, electroless deposition, pre-wetting and pre-chemical treating, reducing, annealing, photoresist stripping, and surface pre-activation, for example.
  • the electrodeposition apparatus 1000 is shown schematically looking top down in FIG.
  • the substrates 1006 that are to be electroplated are generally fed to the electrodeposition apparatus 1000 through a front end loading FOUP 1001 and, in this example, are brought from the FOUP to the main substrate processing area of the electrodeposition apparatus 1000 via a front-end robot 1002 that can retract and move a substrate 1006 driven by a spindle 1003 in multiple dimensions from one station to another of the accessible stations—two front-end accessible stations 1004 and also two front-end accessible stations 1008 are shown in this example.
  • the front-end accessible stations 1004 and 1008 may include, for example, pre-treatment stations, and spin rinse drying (SRD) stations. Lateral movement from side-to-side of the front-end robot 1002 is accomplished utilizing robot track 1002 a.
  • Each of the substrates 1006 may be held by a cup/cone assembly (not shown) driven by a spindle 1003 connected to a motor (not shown), and the motor may be attached to a mounting bracket 1009 . Also shown in this example are the four “duets” of electroplating cells 1007 , for a total of eight electroplating cells 1007 .
  • the electroplating cells 1007 may be used for electroplating copper for the copper containing structure and electroplating solder material for the solder structure.
  • a system controller (not shown) may be coupled to the electrodeposition apparatus 1000 to control some or all of the properties of the electrodeposition apparatus 1000 .
  • the system controller may be programmed or otherwise configured to execute instructions according to processes described earlier herein.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • an ashable hard mask layer such as an amorphous carbon layer
  • another suitable hard mask such as an antireflective
  • FIG. 5 shows CV results illustrating the relative effect of different complexing agents on the polarization of the electrolyte.
  • the tested electrolytes contained 5 mM copper cations and 5 mM of the relevant complexing agents.
  • the CVs were collected on a platinum rotating disk electrode (RDE) in a beaker with a scan rate of 10 mV/s at a rotation speed of 200 RPM, with a mercury sulfate reference electrode (MSE).
  • Dissolved oxygen was controlled to be about 1 ppm, and the pH was adjusted by tetramethylammonium hydroxide (TMAH) or sulfuric acid to a pH of about 3.
  • TMAH tetramethylammonium hydroxide
  • the ethylenediaminetetraacetic acid (EDTA) solution was the most strongly polarized, and the sulfate (SO 4 ) solution was the least polarized.
  • the sulfate solution was the least polarized because in that case, the copper only formed complexes with water.
  • FIG. 6 shows CV results illustrating the relative effects of different copper ion concentrations and pH levels on the polarization of solutions containing EDTA as a complexing agent.
  • concentration of copper cations and the concentration of EDTA were equimolar.
  • the results were collected on a platinum RDE, with a scan rate of 10 mV/s at a rotation speed of 200 RPM, with pH adjusted by TMAH or sulfuric acid to the designated level.
  • the reference electrode was an MSE electrode. Lower copper concentrations and higher pH levels result in more polarized solutions.
  • FIG. 7 shows CV results depicting the effect of electrolyte temperature on the polarization of solutions containing 10 mM copper cations and 10 mM EDTA.
  • the data were collected on PVD copper seed coupon attached on a RDE electrode at different temperatures, with a scan rate of 10 mV/s at a rotation rate of 200 RPM.
  • the reference electrode in this case was MSE, the level of dissolved oxygen was around 1 ppm, and the pH was adjusted by TMAH or sulfuric acid to about 2.3. The scans show that lower temperatures result in more highly polarized solutions.
  • FIGS. 8A-8C depict scanning electron microscope (SEM) images showing the resulting fill for ruthenium seeded trench coupons attached to an RDE electrode after plating in electrolyte having 10 mM copper cations and 10 mM EDTA.
  • the pH of each electrolyte was adjusted by TMAH or sulfuric acid to a pH of about 2.3.
  • the dissolved oxygen level of each electrolyte was around 1 ppm.
  • the temperature of each electrolyte was about 70° C.
  • the trenches had a width of about 80 nm, though the techniques may also be applied to narrower trenches (e.g., about 20 nm wide trenches).
  • the speed of rotation of the RDE was about 200 RPM, and the reference electrode was an MSE electrode.
  • Each of the coupons shown in FIGS. 8A-8C was plated under galvanostatic conditions.
  • the coupon shown in FIG. 8A was plated at 0.4 mA, 8 B was plated at 0.6 mA, and 8 C was plated at 1 mA.
  • Void free bottom-up fill was achieved in the 80 nm trenches for the coupons plated at 0.4 and 0.6 mA. However, when the DC current was increased to 1 mA, seams were observed, as indicated in FIG. 8C by the white arrows. The quality of the fill was also checked after an annealing operation, and no voids were observed in the coupons plated at 0.4 and 0.6 mA (i.e., the coupons shown in FIGS. 8A and 8B ). Experiments performed over a wide range of conditions showed that void free bottom-up fill can be achieved where the applied voltage is maintained between about ⁇ 0.3 to ⁇ 0.6 V (e.g., ⁇ 0.4 to ⁇ 0.5 V) vs. an MSE reference electrode.
  • the applied voltage is maintained between about ⁇ 0.3 to ⁇ 0.6 V (e.g., ⁇ 0.4 to ⁇ 0.5 V) vs. an MSE reference electrode.
  • MSE electrodes are not standard and can produce different potential readings depending on the particular filling of the electrode, the results are also reported in terms of the potential vs. a standard NHE electrode. As compared to an NHE electrode, void free bottom-up fill may be achieved where the voltage is maintained in the range of about 0.03-0.33 V. At voltages outside this range, seams were observed.
  • FIGS. 9A-9C show SEM images illustrating fill results for ruthenium seeded trench coupons attached to a RDE and plated using a modulated waveform at different temperatures, currents, and plating times.
  • Each electrolyte used to plate in FIGS. 9A-9C had 10 mM copper cations and 10 mM EDTA, was adjusted by TMAH or sulfuric acid to have a pH of about 2.3, and also had a dissolved oxygen content of about 1 ppm.
  • the rotation speed for each case was about 200 RPM, and the reference electrode was an MSE electrode.
  • the modulated waveform was a square wave that alternated between a deposition current and an etching current at a frequency of about 100 Hz (frequencies between about 50-1000 Hz have been tested and showed good fill results).
  • the etching current was set to ⁇ 0.05 mA, and voltage was maintained between about ⁇ 0.4 to ⁇ 0.5 V vs. the MSE electrode.
  • the coupon shown in FIG. 9A was electroplated for 20 minutes at room temperature, with a deposition current level of 0.45 mA.
  • the coupon shown in FIG. 9B was electroplated for 20 minutes at 50° C., with a deposition current of 0.5 mA.
  • the coupon shown in FIG. 9C was electroplated for 8 minutes at 70° C., with a deposition current of 1.4 mA. Void free bottom-up fill was achieved in each case shown in FIGS. 9A-9C , though the fill happened more quickly at the higher temperatures. In fact, the fill rate was about 10 ⁇ higher at 70° C. as compared to the room temperature case.
  • FIGS. 10A-10B illustrate SEM images for ruthenium seeded trench coupons attached on an RDE and plated in electrolytes having different complexing agents.
  • the coupon shown in FIG. 10A was plated in an electrolyte having 5 mM copper cations and 5 mM NTA, with pH adjusted by TMAH or sulfuric acid to about 3.1, and with a dissolved oxygen content of about 1 ppm.
  • the coupon shown in FIG. 10B was plated in electrolyte having 10 mM copper cations and 10 mM glutamic acid, with a pH adjusted to about 3.1 and a dissolved oxygen content of about 1 ppm.
  • the rotation rate was about 200 RPM
  • the temperature was room temperature
  • the reference electrode was an MSE electrode
  • the waveform used to drive deposition was galvanostatic (0.1 mA for FIG. 10 A/NTA, and 0.6 mA for FIG. 10 B/glutamic acid). Good quality bottom-up fill was achieved in both cases.
  • bottom-up fill was achieved on a ruthenium seeded coupon plated in an electrolyte having no complexing agent.
  • the electrolyte included 10 mM CuSO 4 at a pH of 2.3.
  • a modulated waveform was used to plate the copper, the modulated waveform being similar to those used in relation to FIGS. 9A-9C .
  • FIGS. 11A-11C show SEM cross-section images of copper seeded coupons filled at various temperatures
  • FIGS. 12A-12C show SEM top-down views of these same coupons (after chemical mechanical polishing), respectively.
  • the copper seeded coupons were attached to an RDE and plated in an electrolyte having 10 mM copper cations and 10 mM EDTA, with a dissolved oxygen level of about 1 ppm, pH adjusted by TMAH or sulfuric acid to 2.3, at a rotation speed of 200 RPM, with an MSE reference electrode.
  • 11A-11C and 12 A- 12 C were plated through a process having a 0.25 s triggered potentiostatic entry into electrolyte at ⁇ 0.5V open circuit potential, followed by galvanostatic deposition at a current of 0.2 mA.
  • the trenches in the coupons were about 50 nm wide.
  • the coupon shown in FIGS. 11A and 12A was plated at room temperature, while the coupon shown in FIGS. 11B and 12B was plated at 50° C. and the coupon shown in FIGS. 11C and 12C were plated at 70° C. Good quality, void-free bottom-up fill was achieved at room temperature.
  • FIG. 13 shows a transmission electron microscope (TEM) image of a copper seeded trench coupon that was plated in electrolyte lacking a complexing agent.
  • the electrolyte in this case included 10 mM copper cations, around 1 ppm dissolved oxygen, and a pH of 2.3.
  • the rotation speed was 200 RPM
  • the reference electrode was an MSE electrode.
  • a 0.25 s triggered potentiostatic entry into electrolyte at ⁇ 0.5 V vs. the open circuit potential was used, followed by galvanostatic plating at a current of 1.2 mA. Good quality bottom-up fill was achieved, as illustrated in FIG. 13 .
  • the complexing agent may be omitted from the electrolyte.

Abstract

The embodiments herein relate to methods and apparatus for filling features with copper by a bottom-up fill mechanism without the use of organic plating additives. In some cases, filling occurs directly on a semi-noble metal layer, without the deposition of a copper seed layer. In other cases, the filling occurs on a copper seed layer. Factors such as the polarization of electrolyte, the use of a complexing agent, electrolyte pH, electrolyte temperature, and the waveform used to deposit material may contribute to promoting the bottom-up fill.

Description

    BACKGROUND
  • In damascene processing, copper is deposited into features on a partially fabricated semiconductor substrate. The conventional copper deposition typically occurs in two steps. First, a copper seed layer is deposited on the substrate using a physical vapor deposition (PVD) process. Next, copper is electroplated on the seed layer to fill the features. As the critical dimension of damascene interconnects decreases over time, it is increasingly difficult to obtain uniform copper coverage over all surfaces when depositing the seed layer through the PVD process. Non-uniform seed layer coverage is problematic because thin copper seed areas are especially susceptible to oxidation and dissolution in electrolyte during the initial stages of the electroplating process. In other words, areas of the seed which are thinner (e.g., on the sidewall of a feature) tend to dissolve in electrolyte to yield a discontinuous metal seed layer. When electroplating takes place on the discontinuous seed layer, the plating results are non-uniform and defects may be introduced.
  • Certain techniques (e.g., immersion at high voltage initial plating conditions, seed pre-treatment, etc.) may be used to reduce seed dissolution. However, some amount of seed dissolution is expected, even when these techniques are fully utilized. As such, there exists a need for a method of depositing copper in semiconductor features that does not require deposition of a copper seed layer.
  • One technique that has been developed avoids the use of PVD to deposit the copper seed by directly electroplating the copper seed layer on a semi-noble surface such as a layer of ruthenium. However, the process used to electroplate the seed layer and the process used to subsequently fill the feature require substantially different electrolytes, and the copper electroplating must therefore occur over two discrete processes.
  • Electrolytes used in electroplating copper onto the seed layer in damascene interconnects typically contain a copper salt, an acid, halide ions, an accelerator, a suppressor and a leveler. The copper salt is the copper source for the deposition. Acid is generally used to control the conductivity of the plating bath. Halide ions may act as bridges to assist the adsorption of certain organic additives (e.g., accelerator, suppressor and/or leveler) onto a substrate surface, which encourage a conventional bottom-up fill mechanism, described below.
  • In conventional electroplating of copper, the organic additives are critical to achieving the desired metallurgy, film uniformity, defect control and fill performance. However, the concentration of organic additives can change over time, and careful attention must be paid to tracking the electrolyte composition to ensure proper plating results. The concentration of the additives is very low in many cases, and it is difficult to accurately track the electrolyte composition within the relevant tolerances. Because of this difficulty, a certain portion of substrates may be plated in baths that do not have a proper balance of additives, and may not be suitable for further use. Thus, there exists a need for a method of electroplating copper into semiconductor features that does not employ conventional organic additives such as suppressors, accelerators or levelers.
  • SUMMARY
  • Certain embodiments herein relate to methods and apparatus for performing bottom-up fill in a feature on a substrate. In one aspect of the embodiments herein, a method is provided for performing a one step electrofill process to fill features on a partially fabricated integrated circuit. The method may include (a) receiving a substrate having an exposed semi-noble metal layer and a plurality of features thereon; (b) contacting the substrate with electrolyte having (i) between about 1-100 mM copper cations; and (ii) a complexing agent that forms a complex with the copper cations, where the electrolyte is substantially free of suppressors, accelerators and levelers; and (c) while contacting the electrolyte, electroplating copper into the features by a bottom-up fill mechanism at a substrate potential for electrodeposition between about 0.03 and 0.33 V versus an NHE reference electrode.
  • In various embodiments, no suppressor, accelerator, or leveler substantially contributes to the bottom-up fill mechanism. The bottom-up fill may be conducted directly on the semi-noble metal layer, without first forming a seed layer. Various different waveforms may be used. In some cases, electroplating copper in operation (c) includes applying a modulated waveform that alternately pulses current at a first level that deposits copper on the substrate and a second level that etches copper from copper that was previously electroplated on the substrate. The second level of current that etches copper may have an absolute value below about 0.1 mA for a 300 mm diameter wafer. In certain embodiments, the pulses of current alternate between the first current level and the second current level with a frequency between about 100-1000 Hz. In these or other cases, the electroplating surface of the substrate may experience a current density of between about 0.004-0.4 mA/cm2.
  • A number of different complexing agents may be used. In some implementations, the complexing agent is selected from the group consisting of ethylenediaminetetraacetic acid (EDTA), nitrilotriacetric acid (NTA), citric acid, and glutamic acid. In a particular case, the complexing agent is EDTA. The electrolyte may be at or above about room temperature. In one embodiment, the electrolyte is held at a temperature between about 20-80° C., for example between about 50-70° C. The pH of the electrolyte may be between about 1-5 in some cases between about 1.5-3.5. The dissolved oxygen content of the electrolyte may be about 2 ppm or lower.
  • The methods herein may be used to plate on a variety of different metals. In some cases, the semi-noble metal layer includes a material selected from the group consisting of ruthenium, tungsten, cobalt, osmium, platinum, palladium, aluminum, gold, silver, iridium, and rhodium. In a particular case the semi-noble metal layer is ruthenium. In some embodiments, at least some of the features on the semiconductor substrate have an opening width of about 100 nm or less. For instance, in some cases the features have a width of about 20 nm or less.
  • In another aspect of the disclosed embodiments, a method of depositing copper in a feature on a partially fabricated integrated circuit is provided. The method may include (a) receiving a substrate having a plurality of features and a copper seed layer thereon; (b) contacting the substrate with electrolyte having between about 1-100 mM copper cations, where the electrolyte is substantially free of suppressors, accelerators and levelers; and (c) electroplating copper into the feature by a bottom-up fill mechanism at a potential between about 0.03-0.33 V versus an NHE reference electrode.
  • In some embodiments, the electrolyte is held at a temperature between about 20-80° C. during electroplating, for example between about 20-50° C. The electroplating surface of the substrate may experience a current density of between about 0.004-0.4 mA/cm2. In certain implementations, a pH of the electrolyte may be between about 1-5, for example between about 1.5-3.5. The disclosed methods may be used to fill relatively small features. In some cases, at least some of the features have a width of about 100 nm or less, for example between about 20 nm or less. In certain embodiments, electroplating copper in operation (c) includes applying a galvanostatically controlled current to the substrate.
  • These and other features will be described below with reference to the associated drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a flowchart for a method of electroplating copper into a feature on a substrate having an exposed semi-noble layer.
  • FIG. 2 shows a flowchart for a method of electroplating copper into a feature on a substrate having an exposed copper seed layer.
  • FIG. 3 depicts an exemplary multi-station apparatus in accordance with the disclosed embodiments.
  • FIG. 4 depicts an alternative implementation of a multi-station apparatus in accordance with the disclosed embodiments.
  • FIG. 5 is a graph depicting the relative polarization effect of different complexing agents in electrolyte.
  • FIG. 6 is a graph showing the relative polarization effect of different copper cation concentrations and different pH levels in electrolyte.
  • FIG. 7 is a graph showing the relative polarization effect of different electrolyte temperatures.
  • FIGS. 8A-8C show SEM images of ruthenium seeded trench coupons plated at 0.4 mA (FIG. 8A), 0.6 mA (FIG. 8B), and 1 mA (FIG. 8C).
  • FIGS. 9A-9C show SEM images of ruthenium seeded trench coupons plated using modulated waveforms at room temperature (FIG. 9A), 50° C. (FIG. 9B), and 70° C. (FIG. 9C).
  • FIGS. 10A and 10B show SEM images of ruthenium seeded trench coupons plated in electrolytes containing NTA (FIG. 10A) and glutamic acid (FIG. 10B) as complexing agents.
  • FIGS. 11A-11C and 12A-12C show cross-sectional (FIGS. 11A-11C) and top-down (FIGS. 12A-12C) SEM images of copper seeded trench coupons plated at different temperatures.
  • FIG. 13 depicts a TEM image of a copper seeded trench coupon plated in electrolyte lacking a complexing agent.
  • DETAILED DESCRIPTION
  • In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. Further, the terms “electrolyte,” “plating bath,” “bath,” and “plating solution” are used interchangeably. The following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of this invention include various articles such as printed circuit boards and the like.
  • In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
  • As mentioned above, conventional copper deposition processes typically utilize organic additives such as suppressors, accelerators and levelers to achieve a bottom-up fill. Though the embodiments herein do not require the use of these additives, and often benefit from their absence, the additives will be discussed below for the purpose of comparison to the disclosed implementations.
  • Suppressors
  • While not wishing to be bound to any theory or mechanism of action, it is believed that suppressors (either alone or in combination with other bath additives) are surface-kinetic polarizing compounds that lead to a significant increase in the voltage drop across the substrate-electrolyte interface, especially when present in combination with a surface chemisorbing halide (e.g., chloride or bromide). The halide may act as a bridge between the suppressor molecules and the wafer surface. The suppressor both (1) increases the local polarization of the substrate surface at regions where the suppressor is present relative to regions where the suppressor is absent, and (2) increases the polarization of the substrate surface generally. The increased polarization (local and/or general) corresponds to increased resistivity/impedance and therefore slower plating at a particular applied potential.
  • Although suppressors adsorb onto a substrate surface, it is believed that they are not incorporated into the deposited film and may slowly degrade over time. Compounds which do not principally act by adsorbing onto a substrate surface are not considered suppressors. Suppressors are often relatively large molecules, and in many instances they are polymeric in nature (e.g., polyethylene oxide, polypropylene oxide, polyethylene glycol, polypropylene glycol, etc). Other examples of suppressors include polyethylene and polypropylene oxides with S− and/or N− containing functional groups, block polymers of polyethylene oxide and polypropylene oxides, etc. The suppressors can have linear chain structures or branch structures. It is common that suppressor molecules with various molecular weights co-exist in a commercial suppressor solution. Due in part to suppressors' large size, the diffusion of these compounds into a recessed feature is relatively slow.
  • Accelerators
  • While not wishing to be bound by any theory or mechanism of action, it is believed that accelerators (either alone or in combination with other bath additives) tend to locally reduce the polarization effect associated with the presence of suppressors, and thereby locally increase the electrodeposition rate. The reduced polarization effect is most pronounced in regions where the adsorbed accelerator is most concentrated (i.e., the polarization is reduced as a function of the local surface concentration of adsorbed accelerator). Example accelerators include, but are not limited to, dimercaptopropane sulfonic acid, dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid, mercaptoethane sulfonic acid, bis-(3-sulfopropyl) disulfide (SPS), and their derivatives. Although the accelerator may become strongly adsorbed to the substrate surface and generally laterally-surface immobile as a result of the plating reactions, the accelerator is generally not incorporated into the film. Thus, the accelerator remains on the surface as metal is deposited. As a recess is filled, the local accelerator concentration increases on the surface within the recess. Accelerators tend to be smaller molecules and exhibit faster diffusion into recessed features, as compared to suppressors. Compounds which do not principally act by adsorbing onto a substrate surface are not considered to be accelerators.
  • Levelers
  • While not wishing to be bound by any theory or mechanism of action, it is believed that levelers (either alone or in combination with other bath additives) act as suppressing agents to counteract the depolarization effect associated with accelerators, especially in the field region and at the side walls of a feature. The leveler may locally increase the polarization/surface resistance of the substrate, thereby slowing the local electrodeposition reaction in regions where the leveler is adsorbed. The local concentration of levelers is determined to some degree by mass transport. Therefore, levelers act principally on surface structures having geometries that protrude away from the surface. This action “smooths” the surface of the electrodeposited layer. It is believed that leveler reacts or is consumed at the substrate surface at a rate that is at or near a diffusion limited rate, and therefore, a continuous supply of leveler is often beneficial in maintaining uniform plating conditions over time.
  • Leveler compounds are generally classified as levelers based on their electrochemical function and impact and do not require specific chemical structure or formulation. However, levelers often contain one or more nitrogen, amine, imide or imidazole, and may also contain sulfur functional groups. Compounds which do not principally act by adsorbing onto a substrate surface are not considered levelers. Certain levelers include one or more five and six member rings and/or conjugated organic compound derivatives. Nitrogen groups may form part of the ring structure. In amine-containing levelers, the amines may be primary, secondary or tertiary alkyl amines. Furthermore, the amine may be an aryl amine or a heterocyclic amine. Example amines include, but are not limited to, dialkylamines, trialkylamines, arylalkylamines, triazoles, imidazole, triazole, tetrazole, benzimidazole, benzotriazole, piperidine, morpholines, piperazine, pyridine, oxazole, benzoxazole, pyrimidine, quonoline, and isoquinoline. Imidazole and pyridine may be especially useful. Leveler compounds may also include ethoxide groups. For example, the leveler may include a general backbone similar to that found in polyethylene glycol or polyethyelene oxide, with fragments of amine functionally inserted over the chain (e.g., Janus Green B). Example epoxides include, but are not limited to, epihalohydrins such as epichlorohydrin and epibromohydrin, and polyepoxide compounds. Polyepoxide compounds having two or more epoxide moieties joined together by an ether-containing linkage may be especially useful. Some leveler compounds are polymeric, while others are not. Example polymeric leveler compounds include, but are not limited to, polyethylenimine, polyamidoamines, and reaction products of an amine with various oxygen epoxides or sulfides. One example of a non-polymeric leveler is 6-mercapto-hexanol. Another example leveler is polyvinylpyrrolidone (PVP).
  • Bottom-Up Fill Promoted by Organic Additives
  • In a bottom-up fill mechanism, a recessed feature on a plating surface tends to be plated with metal from the bottom to the top of the feature, and inward from the side walls towards the center of the feature. It is important to control the deposition rate within the feature and in the field region in order to achieve uniform filling and avoid incorporating voids into the features. In conventional applications, the three types of additives described above are necessary in accomplishing bottom-up fill, each working to selectively increase or decrease the polarization at the substrate surface.
  • After the substrate is immersed in electrolyte, the suppressor adsorbs onto the surface of the substrate, especially in exposed regions such as the field region. At the initial plating stages, there is a substantial differential in suppressor concentration between the top and bottom of a recessed feature. This differential is present due to the relatively large size of the suppressor molecule and its correspondingly slow transport properties. Over this same initial plating time, it is believed that accelerator accumulates at a low, substantially uniform concentration over the entire plating surface, including the bottom and side walls of the feature. Because the accelerator diffuses into features more rapidly than the suppressor, the initial ratio of accelerator:suppressor within the feature (especially at the feature bottom) is relatively high. The relatively high initial accelerator:suppressor ratio within the feature promotes rapid plating from the bottom of the feature upwards and from the sidewalls inwards. Meanwhile, the initial plating rate in the field region is relatively low due to the lower ratio of accelerator:suppressor. Thus, in the initial plating stages, plating occurs relatively faster within the feature and relatively slower in the field region.
  • As plating continues, the feature fills with metal and the surface area within the feature is reduced. Because of the decreasing surface area and the accelerator substantially remaining on the surface, the local surface concentration of accelerator within the feature increases as plating continues. This increased accelerator concentration within the feature helps maintain the differential plating rate beneficial for bottom-up fill.
  • In the later stages of plating, particularly as overburden deposits, the accelerator may build up in certain regions (e.g., above filled features) undesirably, resulting in local faster-than-desired plating. Leveler is conventionally used to counteract this effect. The surface concentration of leveler is greatest at exposed regions of a surface (i.e., not within recessed features) and where convection is greatest. It is believed that the leveler displaces accelerator, increases the local polarization and decreases the local plating rate at regions of the surface that would otherwise be plating at a rate greater than at other locations on the deposit. In other words, the leveler tends, at least in part, to reduce or remove the influence of an accelerating compound at the exposed regions of a surface, particularly at protruding structures. In conventional applications, a feature may tend to overfill and produce a bump in the absence of leveler. Therefore, in the later stages of conventional bottom-up fill plating, levelers are beneficial in producing a relatively flat deposit.
  • The use of suppressor, accelerator and leveler, in combination, allow a feature to be filled without voids from the bottom-up and from the sidewalls-inward, while producing a relatively flat deposited surface. The exact identity/composition of the additive compounds are typically maintained as trade secrets by the additive suppliers, thus, information about the exact nature of these compounds is not publicly available.
  • Plating Without Organic Additives
  • One aspect of the disclosed embodiments is a method of electroplating copper into features on a semiconductor substrate having an exposed semi-noble metal liner. In this embodiment, copper is electroplated directly onto the semi-noble liner, rather than on a copper seed layer. While the electrolyte in this implementation may include a complexing agent that complexes with copper in the solution, the electrolyte is substantially free of organic additives such as suppressor, accelerator and leveler. Where some small amount of organic additives are present, it may be the case that the organic additives do not substantially contribute to the bottom-up fill mechanism. In other words, the bottom-up fill would occur even in the absence of the organic additives, when plating under otherwise identical plating conditions. Another aspect of the disclosed embodiments is a method of electroplating copper into features on a semiconductor substrate having an exposed copper seed layer. As with the previous embodiment, this method may be performed with electrolyte that is substantially free of suppressor, accelerator and leveler. Despite the absence of organic additives, the disclosed methods achieve a bottom-up fill mechanism to fill the features.
  • Methods Plating on a Semi-Noble Metal Layer
  • In one embodiment, copper is electroplated on an exposed semi-noble metal liner layer. The semi-noble metal liner layer may be ruthenium, cobalt, tungsten, osmium, platinum, palladium, aluminum, gold, silver, iridium, rhodium, or a combination thereof. A substrate having an exposed semi-noble layer is provided in an electroplating cell and immersed in electrolyte having particular characteristics, as discussed below. Current is applied to the substrate to promote nucleation, followed by Volmer-Weber growth, to thereby form three-dimensional copper islands. The copper islands continue to grow until they coalesce into a continuous copper film. The applied current depends on the composition of the electrolyte, but is generally controlled to provide a voltage between about 0 and 4V versus a normal hydrogen electrode (NHE), or between about 0.03 and 0.33 V versus the NHE.
  • The electrolyte may be designed to help promote a high nucleation density. One way to promote high nucleation density is to use conditions that result in a relatively more polarized electrolyte. Increased electrolyte polarization can be achieved by using certain complexing agents such as ethylenediaminetetraacetic acid (EDTA), nitrilotriacetic acid (NTA), citric acid, glutamic acid, etc. in combination with low copper concentrations. These complexing agents form complexes with copper ion dissolved in the electrolyte. Complexing agents bind with copper ions by, e.g., electrostatic interactions and form a soluble complex. In various examples, complexing agents are shaped to partially enclose complexed copper ions and partially shield the copper ions. Complexing agents do not appreciably adsorb onto the surface of the substrate, at least not to the extent of conventional plating additives such as suppressors, accelerators, and levelers. Thus, the complexing agents employed herein are not suppressor compounds (or accelerators or levelers). The polarization effects of complexers such as those mentioned above are illustrated below in the Experimental section.
  • The complexing agents promote high nucleation density. Although the complexing agents are not suppressors (because they principally act by forming complexes with copper in solution, rather than acting by adsorbing onto the substrate surface), the complexing agents do serve a suppressor-like function to increase the overpotential of the copper electrodeposition. In some embodiments, the concentration of complexing agent is between about 1-100 mM, for example between about 1-20 mM or between about 5-10 mM. The concentration of complexing agent may be substantially similar (e.g., within about 30%) to the concentration of copper cations, as measured in molar concentration. In some cases, these concentrations are substantially equimolar (e.g., within about 10%, or within about 5%). In a particular case, the concentration of complexing agent and the concentration of copper cations are exactly equimolar. Equimolar concentrations of copper cations and complexing agents may be beneficial as the copper and complexing agents together form a complex in a 1:1 ratio. In other cases, these concentrations vary more considerably. In some embodiments, the concentration of complexing agent may be higher than the concentration of copper cations. Having a stoichiometric excess of complexing agent may be beneficial in certain embodiments, as this may help achieve a higher fraction of complexed copper cations, which may contribute to achieving a high nucleation density on a semi-noble metal surface.
  • In some embodiments, the complexing agent may be omitted. When plating on a semi-noble metal layer without a complexing agent, a modulated waveform may be used to help promote bottom-up plating. Modulated waveforms are discussed further below.
  • The low copper concentration further contributes to the relatively high polarization of the electrolyte. In some embodiments, the concentration of copper cations is between about 1-100 mM, for example between about 1-20 mM, or between about 5-10 mM. The effect of different copper concentrations on the polarization of solution is further discussed below in the Experimental section.
  • Another factor affecting the polarization of the electrolyte is the pH. Generally, electrolytes having higher pH are more polarized. In certain embodiments, the pH of the electrolyte is between about 1-5, for example between about 1.5-3.5. The effect of different electrolyte pH is further discussed below in the Experimental section.
  • The polarization of the electrolyte is also affected by the temperature of the electrolyte. Generally, lower temperatures result in higher electrolyte polarization. However, lower temperatures also result in lower deposition rates and more conformal films. In the context of bottom-up fill, conformal films are undesirable because they can lead to the incorporation of seams/voids inside features. As such, the increased polarization benefits at low temperatures should be balanced against the high temperature advantages of increased deposition rate and less conformal films. In some embodiments, deposition occurs at a temperature between about 20-80° C., for example between about 50-70° C. Conventional bottom-up fill processes typically occur at about 20-25° C. One benefit of the disclosed embodiments is that where filling occurs at an elevated temperature, the deposition rate may be higher than in the conventional processes, which generally take place at lower temperatures.
  • The waveform applied to drive electrodeposition may also have an effect on the fill mechanism. In some embodiments, a DC current is used (e.g., with galvanostatic or galvanodynamic control). In other embodiments, a modulated waveform is used (e.g., with current alternating between deposition and etching currents). The use of a modulated waveform may result in a film that is less conformal, which is beneficial in the context of bottom-up fill.
  • As known to those of skill in the art, the maximum current used for deposition (the limiting current) is affected by the availability of copper at the substrate-electrolyte interface. If the current goes above an acceptable level, the electrolyte may experience copper depletion, resulting in poor deposition results. In other words, there may be an insufficient amount of copper at the interface to sustain the reduction reaction at the relevant level of current. Instead, a parasitic reaction may occur to sustain the current delivered to the substrate. For example, the electrolyte itself may begin to decompose and generate gasses at the plating interface, causing non-uniform plating and in some cases the formation of nodular growths on the substrate. The maximum current during etching is typically limited only by hardware limitations, though care should be taken to ensure that the current is not so high as to fully remove the previously deposited metal.
  • In some embodiments, a current level used to deposit material is between about 0.001-1.5 A, for example between about 0.05-1.4 A, or between about 0.05-1 A (based on a 300 mm wafer). In these or other embodiments, the absolute value of a current level used to etch material is between about 0.035-0.25 A, for example between about 0.04-0.2 A, or below about 0.1 A (based on a 300 mm wafer). In various cases, the current used to etch material is negative. The current density during electroplating may be between about 0.1-2 mA/cm2. The current density during etching may be between about 0.05-0.3 mA/cm2. In implementations using a modulated waveform (e.g., a square waveform), a frequency of the waveform may be between about 100-1000 Hz. In other words, the waveform may alternate between a deposition current and an etching current at the disclosed frequency. The effect of different waveforms on plating results is further discussed below in the Experimental section.
  • Without wishing to be bound by a particular theory or mechanism of action, it is believed that when a modulated waveform is used, it may result in a redistribution of material on and in the feature. During the etching portion of the waveform, copper may be selectively etched near the top portion of the feature. The copper farther down in the feature, near the feature bottom, is less likely to be etched away. This selective etching may effectively reduce the surface area of copper within the feature that is available (and favorable) for plating. During a subsequent deposition portion of the waveform, copper may tend to deposit more toward the bottom of the feature, where the remaining copper is concentrated, as the energy required to deposit in this region may be lower than in regions near the top of the feature. While both the deposition and etching operations act on all portions of the feature, the deposition may occur more heavily near the feature bottom as compared to the feature top, and the etching may occur more heavily near the feature top as compared to the feature bottom. Through repeated cycles of deposition and etching, copper may be redistributed within the feature to achieve bottom-up fill. Another factor which may contribute to the bottom-up fill mechanism is the relatively low deposition rate. Because plating occurs over a longer period of time, the copper has more time to redistribute in the feature to provide a good fill result.
  • Where a DC waveform is used, the mechanism of action that promotes bottom-up fill may be somewhat different. When copper is combined with a complexing agent, e.g., a relatively weak complexing agent such as NTA and/or glutamic acid, and is plated at a low deposition rate, the fill mechanism may become less conformal, leading to a bottom-up fill of the feature. The choice of complexing agent, the concentration of copper in electrolyte, the electrolyte pH and the electrolyte temperature all affect the polarization of the solution. Bottom-up fill has been shown to reliably occur where the substrate is maintained at a potential between about 0.03 to 0.33 V vs. an NHE reference electrode. This voltage range has been shown to be successful in promoting bottom-up fill. If the voltage is significantly below this range, the plating current is too low and very little copper will be deposited; if the voltage is above this range, the fill behavior is observed to be conformal, rather than bottom-up. By applying current in such a way that the voltage falls within the cited range, bottom up fill may be achieved. In certain embodiments, this voltage corresponds to a potential between about −0.3 to −0.6 V (e.g., about −0.4 to −0.5 V) vs. a mercury sulfate reference electrode (MSE), as used in the experiments described below. By maintaining the voltage in this range, in combination with the electrolyte conditions described above, bottom-up fill is achieved without the use of organic additives such as suppressors, accelerators and levelers. In some cases, the electrolyte may contain trace amounts of organic additives, but the additives do not substantially contribute to the bottom-up fill mechanism.
  • FIG. 1 provides a flowchart depicting a method of filling a feature on a semiconductor substrate having an exposed semi-noble metal layer. The process 100 begins at block 101, where a substrate having an exposed semi-noble metal layer is received/provided in an electrodeposition chamber. The substrate typically has features thereon which are to be filled through the electrodeposition process. In some cases, the features may be trenches that are between about 10-100 nm wide, for example between about 50-100 nm wide. In these or other cases, the feature may have a width of about 100 nm or less, for example about 20 nm or less. Next, at block 103 the substrate is contacted with electrolyte that is substantially free of suppressor, accelerator and leveler compounds. The electrolyte may have the characteristics described above such as a complexing agent, a low concentration of copper cations, and a particular pH and/or temperature. These factors may contribute to a relatively highly polarized electrolyte. At block 105, current is applied to the substrate. The applied current may be a direct current or a modulated current, and is designed to maintain a substrate potential between about 0.03-0.33 V vs. an NHE reference electrode. This substrate potential, in combination with the disclosed electrolyte, promotes bottom-up fill without the use of organic plating additives.
  • Plating on a Copper Seed Layer
  • The method disclosed above relating to electrodeposition of copper on a semi-noble metal layer may be extended to plating on a copper seed layer. While this embodiment may not achieve the benefit of a one-step fill (as the copper seed layer is deposited separately from the copper fill material), this embodiment does capture the advantage of electroplating copper through bottom-up fill without the use of organic plating additives.
  • Generally, the teachings disclosed above related to electrolyte composition/pH/temperature/waveform apply to plating on copper seed layers, as well. However, some of the considerations above are less important when plating on a copper seed layer, and other considerations may be more important. For example, where plating occurs on a copper seed layer, the complexing agent may be omitted from the electrolyte. The complexing agent may be more important in the context of plating on a semi-noble metal layer, as the degree of polarization necessary to achieve proper plating results may be higher when plating on semi-noble layers as compared to plating on copper.
  • Further, when plating on a copper seed layer, the use of a modulated waveform is somewhat more complicated. As with plating on a semi-noble metal, the applied current may be galvanostatic or galvanodynamic. The extra complication arises because there is a chance that in some region on the substrate, all of the copper (including the copper seed layer) could be dissolved during an etching portion of a modulated waveform. If this occurs, then there will be no appropriate surface on which to electroplate in this region, and the plating results will be poor. While bottom-up fill may be achieved using the disclosed method with a modulated waveform, care should be taken to avoid seed dissolution. Therefore, the etching portion of a waveform may be delayed until a sufficient amount of copper is plated in an initial portion of the plating sequence. Plating on a copper seed layer may also be accomplished using a direct current waveform.
  • The optimal deposition temperature may be lower in the embodiment employing a copper seed layer as compared to the embodiment where plating occurs directly on a semi-noble metal layer. In some cases, when plating on a copper seed, the temperature is maintained between about 20-80° C., for example between about 20-50° C.
  • Without wishing to be bound by any mechanism of action, it is believed that the mechanism for bottom-up fill on copper seed may be similar to the bottom-up fill mechanism described above in relation to plating on a semi-noble metal layer such as ruthenium. However, in various cases when plating on copper seed, it is not necessary to use a complexing agent or a modulated waveform to promote nucleation on the copper seed layer.
  • FIG. 2 provides a flowchart for a method of electroplating copper onto a copper seed layer. The process 200 begins at block 201, where a substrate having an exposed layer of copper seed is received/provided in an electrodeposition chamber. The substrate will generally have features thereon which are to be filled through the electrodeposition process. In some cases, the features may be trenches that are between about 10-100 nm wide, for example between about 50-100 nm wide. Next, at block 203 the substrate is contacted with electrolyte that is substantially free of suppressor, accelerator and leveler compounds. The electrolyte may have the characteristics described above such as a complexing agent, a low concentration of copper cations, and a particular pH and/or temperature. In certain embodiments employing a copper seed layer, no complexing agent is used. At block 205, current is applied to the substrate. The applied current may be a direct current or a modulated current, and is designed to maintain a substrate potential between about 0.03-0.33 V vs. an NHE reference electrode. This substrate potential, in combination with the disclosed electrolyte, promotes bottom-up fill without the use of organic plating additives.
  • Apparatus
  • Many apparatus configurations may be used in accordance with the embodiments described herein. One example apparatus includes a clamshell fixture that seals a wafer's backside away from the plating solution while allowing plating to proceed on the wafer's face. The clamshell fixture may support the wafer, for example, via a seal placed over the bevel of the wafer, or by means such as a vacuum applied to the back of a wafer in conjunction with seals applied near the bevel.
  • The clamshell fixture should enter the bath in a way that allows good wetting of the wafer's plating surface. The quality of substrate wetting is affected by multiple variables including, but not limited to, clamshell rotation speed, vertical entry speed, and the angle of the clamshell relative to the surface of the plating bath. These variables and their effects are further discussed in U.S. Pat. No. 6,551,487, incorporated by reference herein. In certain implementations, the electrode rotation rate is between about 5-125 RPM, the vertical entry speed is between about 5-300 mm/s, and the angle of the clamshell relative to the surface of the plating bath is between about 1-10 degrees. One of the goals in optimizing these variables for a particular application is to achieve good wetting by fully displacing air from the wafer surface.
  • The electrodeposition methods disclosed herein can be described in reference to, and may be employed in the context of, various electroplating tool apparatuses. One example of a plating apparatus that may be used according to the embodiments herein is the Lam Research Sabre tool. Electrodeposition, including substrate immersion, and other methods disclosed herein can be performed in components that form a larger electrodeposition apparatus. FIG. 3 shows a schematic of a top view of an example electrodeposition apparatus. The electrodeposition apparatus 900 can include three separate electroplating modules 902, 904, and 906. The electrodeposition apparatus 900 can also include three separate modules 912, 914, and 916 configured for various process operations. For example, in some embodiments, one or more of modules 912, 914, and 916 may be a spin rinse drying (SRD) module. In other embodiments, one or more of the modules 912, 914, and 916 may be post-electrofill modules (PEMs), each configured to perform a function, such as edge bevel removal, backside etching, and acid cleaning of substrates after they have been processed by one of the electroplating modules 902, 904, and 906.
  • The electrodeposition apparatus 900 includes a central electrodeposition chamber 924. The central electrodeposition chamber 924 is a chamber that holds the chemical solution used as the electroplating solution in the electroplating modules 902, 904, and 906. The electrodeposition apparatus 900 also includes a dosing system 926 that may store and deliver electrolyte components for the electroplating solution. A chemical dilution module 922 may store and mix chemicals to be used as an etchant. A filtration and pumping unit 928 may filter the electroplating solution for the central electrodeposition chamber 924 and pump it to the electroplating modules.
  • A system controller 930 provides electronic and interface controls required to operate the electrodeposition apparatus 900. The system controller 930 (which may include one or more physical or logical controllers) controls some or all of the properties of the electroplating apparatus 900. The system controller 930 typically includes one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations as described herein may be executed on the processor. These instructions may be stored on the memory devices associated with the system controller 930 or they may be provided over a network. In certain embodiments, the system controller 930 executes system control software.
  • The system control software in the electrodeposition apparatus 900 may include instructions for controlling the timing, mixture of electrolyte components (including the concentration of one or more electrolyte components), inlet pressure, plating cell pressure, plating cell temperature, substrate temperature, current and potential applied to the substrate and any other electrodes, substrate position, substrate rotation, and other parameters of a particular process performed by the electrodeposition apparatus 900. The system control logic may also include instructions for electroplating under conditions that are tailored to be appropriate for a low copper concentration electrolyte and the relatively high overpotential associated therewith. For example, the system control logic may be configured to provide a relatively low current density during a bottom-up fill. The control logic may also be configured to provide certain levels of mass transfer to the wafer surface during plating. For example, the control logic may be configured to control the flow of electrolyte to ensure sufficient mass transfer to the wafer during plating such that the substrate does not encounter depleted copper conditions. In certain embodiments the control logic may operate to provide different levels of mass transfer at different stages of the plating process (e.g., higher mass transfer during a bottom-up fill stage than during an overburden stage, or lower mass transfer during the bottom-up fill stage than during the overburden stage). Further, the system control logic may be configured to maintain the concentration of one or more electrolyte components, or the pH of the electrolyte, within any of the ranges disclosed herein. As a particular example, the system control logic may be designed or configured to maintain the concentration of copper cations between about 1-100 mM. In another example, the system control logic may be configured to apply current so as to maintain the substrate at a potential between about 0.03-0.33 V vs. an NHE electrode. System control logic may be configured in any suitable way. For example, various process tool component sub-routines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable computer readable programming language. The logic may also be implemented as hardware in a programmable logic device (e.g., an FPGA), an ASIC, or other appropriate vehicle.
  • In some embodiments, system control logic includes input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of an electroplating process may include one or more instructions for execution by the system controller 930. The instructions for setting process conditions for an immersion process phase may be included in a corresponding immersion recipe phase. In some embodiments, the electroplating recipe phases may be sequentially arranged, so that all instructions for an electroplating process phase are executed concurrently with that process phase.
  • The control logic may be divided into various components such as programs or sections of programs in some embodiments. Examples of logic components for this purpose include a substrate positioning component, an electrolyte composition control component, a pressure control component, a heater control component, and a potential/current power supply control component.
  • In some embodiments, there may be a user interface associated with the system controller 930. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • In some embodiments, parameters adjusted by the system controller 930 may relate to process conditions. Non-limiting examples include bath conditions (temperature, composition, pH, flow rate, etc.), substrate position (rotation rate, linear (vertical) speed, angle from horizontal, etc.) and electrical conditions (current, potential, etc.) at various stages, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 930 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of the process tool. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, optical position sensors, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • In one embodiment, the instructions can include inserting the substrate in a wafer holder, tilting the substrate, biasing the substrate during immersion, and electrodepositing a copper containing structure on a substrate.
  • A hand-off tool 940 may select a substrate from a substrate cassette such as the cassette 942 or the cassette 944. The cassettes 942 or 944 may be front opening unified pods (FOUPs). A FOUP is an enclosure designed to hold substrates securely and safely in a controlled environment and to allow the substrates to be removed for processing or measurement by tools equipped with appropriate load ports and robotic handling systems. The hand-off tool 940 may hold the substrate using a vacuum attachment or some other attaching mechanism.
  • The hand-off tool 940 may interface with a wafer handling station 932, the cassettes 942 or 944, a transfer station 950, or an aligner 948. From the transfer station 950, a hand-off tool 946 may gain access to the substrate. The transfer station 950 may be a slot or a position from and to which hand-off tools 940 and 946 may pass substrates without going through the aligner 948. In some embodiments, however, to ensure that a substrate is properly aligned on the hand-off tool 946 for precision delivery to an electroplating module, the hand-off tool 946 may align the substrate with an aligner 948. The hand-off tool 946 may also deliver a substrate to one of the electroplating modules 902, 904, or 906 or to one of the three separate modules 912, 914, and 916 configured for various process operations.
  • An example of a process operation according to the methods described above may proceed as follows: (1) electrodeposit copper onto a substrate to form a copper containing structure in the electroplating module 904; (2) rinse and dry the substrate in SRD in module 912; and, (3) perform edge bevel removal in module 914.
  • An apparatus configured to allow efficient cycling of substrates through sequential plating, rinsing, drying, and PEM process operations may be useful for implementations for use in a manufacturing environment. To accomplish this, the module 912 can be configured as a spin rinse dryer and an edge bevel removal chamber. With such a module 912, the substrate would only need to be transported between the electroplating module 904 and the module 912 for the copper plating and EBR operations.
  • An alternative embodiment of an electrodeposition apparatus 1000 is schematically illustrated in FIG. 4. In this embodiment, the electrodeposition apparatus 1000 has a set of electroplating cells 1007, each containing an electroplating bath, in a paired or multiple “duet” configuration. In addition to electroplating per se, the electrodeposition apparatus 1000 may perform a variety of other electroplating related processes and sub-steps, such as spin-rinsing, spin-drying, metal and silicon wet etching, electroless deposition, pre-wetting and pre-chemical treating, reducing, annealing, photoresist stripping, and surface pre-activation, for example. The electrodeposition apparatus 1000 is shown schematically looking top down in FIG. 4, and only a single level or “floor” is revealed in the figure, but it is to be readily understood by one having ordinary skill in the art that such an apparatus, e.g. the Novellus Sabre™ 3D tool, can have two or more levels “stacked” on top of each other, each potentially having identical or different types of processing stations.
  • Referring once again to FIG. 4, the substrates 1006 that are to be electroplated are generally fed to the electrodeposition apparatus 1000 through a front end loading FOUP 1001 and, in this example, are brought from the FOUP to the main substrate processing area of the electrodeposition apparatus 1000 via a front-end robot 1002 that can retract and move a substrate 1006 driven by a spindle 1003 in multiple dimensions from one station to another of the accessible stations—two front-end accessible stations 1004 and also two front-end accessible stations 1008 are shown in this example. The front-end accessible stations 1004 and 1008 may include, for example, pre-treatment stations, and spin rinse drying (SRD) stations. Lateral movement from side-to-side of the front-end robot 1002 is accomplished utilizing robot track 1002 a. Each of the substrates 1006 may be held by a cup/cone assembly (not shown) driven by a spindle 1003 connected to a motor (not shown), and the motor may be attached to a mounting bracket 1009. Also shown in this example are the four “duets” of electroplating cells 1007, for a total of eight electroplating cells 1007. The electroplating cells 1007 may be used for electroplating copper for the copper containing structure and electroplating solder material for the solder structure. A system controller (not shown) may be coupled to the electrodeposition apparatus 1000 to control some or all of the properties of the electrodeposition apparatus 1000. The system controller may be programmed or otherwise configured to execute instructions according to processes described earlier herein.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. In some embodiments, an ashable hard mask layer (such as an amorphous carbon layer) and another suitable hard mask (such as an antireflective layer) may be deposited prior to applying the photoresist.
  • It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. As such, various acts illustrated may be performed in the sequence illustrated, in other sequences, in parallel, or in some cases omitted. Likewise, the order of the above described processes may be changed.
  • The subject matter of the present disclosure includes all novel and nonobvious combinations and sub-combinations of the various processes, systems and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.
  • Experimental
  • Several experimental studies have shown that the disclosed methods can be used to achieve bottom-up fill in the absence of organic plating additives. The initial results provided in this section relate to cyclic voltammetry (CV) scans showing the effect that different parameters (e.g., the identity of a complexing agent, concentration of copper cations, solution pH and solution temperature) have on polarization. The latter results provided in this section show fill results for features filled according to different plating conditions. All of the results presented in this section were generated without the use of organic plating additives. Where coupons were used for plating, the coupons had a plating area of about 1 cm2.
  • FIG. 5 shows CV results illustrating the relative effect of different complexing agents on the polarization of the electrolyte. The tested electrolytes contained 5 mM copper cations and 5 mM of the relevant complexing agents. The CVs were collected on a platinum rotating disk electrode (RDE) in a beaker with a scan rate of 10 mV/s at a rotation speed of 200 RPM, with a mercury sulfate reference electrode (MSE). Dissolved oxygen was controlled to be about 1 ppm, and the pH was adjusted by tetramethylammonium hydroxide (TMAH) or sulfuric acid to a pH of about 3. The ethylenediaminetetraacetic acid (EDTA) solution was the most strongly polarized, and the sulfate (SO4) solution was the least polarized. The sulfate solution was the least polarized because in that case, the copper only formed complexes with water.
  • FIG. 6 shows CV results illustrating the relative effects of different copper ion concentrations and pH levels on the polarization of solutions containing EDTA as a complexing agent. For each of these solutions, the concentration of copper cations and the concentration of EDTA were equimolar. The results were collected on a platinum RDE, with a scan rate of 10 mV/s at a rotation speed of 200 RPM, with pH adjusted by TMAH or sulfuric acid to the designated level. The reference electrode was an MSE electrode. Lower copper concentrations and higher pH levels result in more polarized solutions.
  • FIG. 7 shows CV results depicting the effect of electrolyte temperature on the polarization of solutions containing 10 mM copper cations and 10 mM EDTA. The data were collected on PVD copper seed coupon attached on a RDE electrode at different temperatures, with a scan rate of 10 mV/s at a rotation rate of 200 RPM. The reference electrode in this case was MSE, the level of dissolved oxygen was around 1 ppm, and the pH was adjusted by TMAH or sulfuric acid to about 2.3. The scans show that lower temperatures result in more highly polarized solutions.
  • FIGS. 8A-8C depict scanning electron microscope (SEM) images showing the resulting fill for ruthenium seeded trench coupons attached to an RDE electrode after plating in electrolyte having 10 mM copper cations and 10 mM EDTA. The pH of each electrolyte was adjusted by TMAH or sulfuric acid to a pH of about 2.3. The dissolved oxygen level of each electrolyte was around 1 ppm. The temperature of each electrolyte was about 70° C. In this case, the trenches had a width of about 80 nm, though the techniques may also be applied to narrower trenches (e.g., about 20 nm wide trenches). The speed of rotation of the RDE was about 200 RPM, and the reference electrode was an MSE electrode. Each of the coupons shown in FIGS. 8A-8C was plated under galvanostatic conditions. The coupon shown in FIG. 8A was plated at 0.4 mA, 8B was plated at 0.6 mA, and 8C was plated at 1 mA.
  • Void free bottom-up fill was achieved in the 80 nm trenches for the coupons plated at 0.4 and 0.6 mA. However, when the DC current was increased to 1 mA, seams were observed, as indicated in FIG. 8C by the white arrows. The quality of the fill was also checked after an annealing operation, and no voids were observed in the coupons plated at 0.4 and 0.6 mA (i.e., the coupons shown in FIGS. 8A and 8B). Experiments performed over a wide range of conditions showed that void free bottom-up fill can be achieved where the applied voltage is maintained between about −0.3 to −0.6 V (e.g., −0.4 to −0.5 V) vs. an MSE reference electrode. Because MSE electrodes are not standard and can produce different potential readings depending on the particular filling of the electrode, the results are also reported in terms of the potential vs. a standard NHE electrode. As compared to an NHE electrode, void free bottom-up fill may be achieved where the voltage is maintained in the range of about 0.03-0.33 V. At voltages outside this range, seams were observed.
  • FIGS. 9A-9C show SEM images illustrating fill results for ruthenium seeded trench coupons attached to a RDE and plated using a modulated waveform at different temperatures, currents, and plating times. Each electrolyte used to plate in FIGS. 9A-9C had 10 mM copper cations and 10 mM EDTA, was adjusted by TMAH or sulfuric acid to have a pH of about 2.3, and also had a dissolved oxygen content of about 1 ppm. The rotation speed for each case was about 200 RPM, and the reference electrode was an MSE electrode. For each case, the modulated waveform was a square wave that alternated between a deposition current and an etching current at a frequency of about 100 Hz (frequencies between about 50-1000 Hz have been tested and showed good fill results). For each deposition, the etching current was set to −0.05 mA, and voltage was maintained between about −0.4 to −0.5 V vs. the MSE electrode.
  • The coupon shown in FIG. 9A was electroplated for 20 minutes at room temperature, with a deposition current level of 0.45 mA. The coupon shown in FIG. 9B was electroplated for 20 minutes at 50° C., with a deposition current of 0.5 mA. The coupon shown in FIG. 9C was electroplated for 8 minutes at 70° C., with a deposition current of 1.4 mA. Void free bottom-up fill was achieved in each case shown in FIGS. 9A-9C, though the fill happened more quickly at the higher temperatures. In fact, the fill rate was about 10× higher at 70° C. as compared to the room temperature case.
  • FIGS. 10A-10B illustrate SEM images for ruthenium seeded trench coupons attached on an RDE and plated in electrolytes having different complexing agents. The coupon shown in FIG. 10A was plated in an electrolyte having 5 mM copper cations and 5 mM NTA, with pH adjusted by TMAH or sulfuric acid to about 3.1, and with a dissolved oxygen content of about 1 ppm. The coupon shown in FIG. 10B was plated in electrolyte having 10 mM copper cations and 10 mM glutamic acid, with a pH adjusted to about 3.1 and a dissolved oxygen content of about 1 ppm. For each case, the rotation rate was about 200 RPM, the temperature was room temperature, the reference electrode was an MSE electrode, and the waveform used to drive deposition was galvanostatic (0.1 mA for FIG. 10A/NTA, and 0.6 mA for FIG. 10B/glutamic acid). Good quality bottom-up fill was achieved in both cases.
  • In another experiment, bottom-up fill was achieved on a ruthenium seeded coupon plated in an electrolyte having no complexing agent. In this case, the electrolyte included 10 mM CuSO4 at a pH of 2.3. A modulated waveform was used to plate the copper, the modulated waveform being similar to those used in relation to FIGS. 9A-9C.
  • The remaining experiments relate to plating that occurred on coupons having a copper seed layer. FIGS. 11A-11C show SEM cross-section images of copper seeded coupons filled at various temperatures, and FIGS. 12A-12C show SEM top-down views of these same coupons (after chemical mechanical polishing), respectively. The copper seeded coupons were attached to an RDE and plated in an electrolyte having 10 mM copper cations and 10 mM EDTA, with a dissolved oxygen level of about 1 ppm, pH adjusted by TMAH or sulfuric acid to 2.3, at a rotation speed of 200 RPM, with an MSE reference electrode. The coupons shown in FIGS. 11A-11C and 12A-12C were plated through a process having a 0.25 s triggered potentiostatic entry into electrolyte at −0.5V open circuit potential, followed by galvanostatic deposition at a current of 0.2 mA. The trenches in the coupons were about 50 nm wide. The coupon shown in FIGS. 11A and 12A was plated at room temperature, while the coupon shown in FIGS. 11B and 12B was plated at 50° C. and the coupon shown in FIGS. 11C and 12C were plated at 70° C. Good quality, void-free bottom-up fill was achieved at room temperature. However, at the higher temperature of 70° C., seed dissolution and a lack of growth appears to occur at the relatively low current density (0.2 mA) chosen for this particular test. As such, the benefit of higher plating rates at higher temperatures should be balanced against the increased possibility of seed dissolution at these higher temperatures.
  • FIG. 13 shows a transmission electron microscope (TEM) image of a copper seeded trench coupon that was plated in electrolyte lacking a complexing agent. The electrolyte in this case included 10 mM copper cations, around 1 ppm dissolved oxygen, and a pH of 2.3. The rotation speed was 200 RPM, and the reference electrode was an MSE electrode. A 0.25 s triggered potentiostatic entry into electrolyte at −0.5 V vs. the open circuit potential was used, followed by galvanostatic plating at a current of 1.2 mA. Good quality bottom-up fill was achieved, as illustrated in FIG. 13. As such, in some embodiments the complexing agent may be omitted from the electrolyte.

Claims (27)

What is claimed is:
1. A method of performing a one step electrofill process to fill features on a partially fabricated integrated circuit, comprising:
(a) receiving a substrate having an exposed semi-noble metal layer and a plurality of features thereon;
(b) contacting the substrate with electrolyte comprising:
(i) between about 1-100 mM copper cations; and
(ii) a complexing agent that forms a complex with the copper cations, wherein the electrolyte is substantially free of suppressors, accelerators and levelers; and
(c) while contacting the electrolyte, electroplating copper into the features by a bottom-up fill mechanism at a substrate potential for electrodeposition between about 0.03 and 0.33 V versus an NHE reference electrode.
2. The method of claim 1, wherein no suppressor, accelerator, or leveler substantially contributes to the bottom-up fill mechanism.
3. The method of claim 1, wherein the bottom-up fill is conducted directly on the semi-noble metal layer, without first forming a seed layer.
4. The method of claim 1, wherein electroplating copper in operation (c) comprises applying a modulated waveform that alternately pulses current at a first level that deposits copper on the substrate and a second level that etches copper from copper that was previously electroplated on the substrate.
5. The method of claim 4, wherein the second level of current that etches copper has an absolute value between about 0.05-0.3 mA/cm2, and wherein the pulses of current alternate between the first current level and second current level with a frequency between about 100-1000 Hz.
6. The method of claim 1, wherein the complexing agent is selected from the group consisting of ethylenediaminetetraacetic acid (EDTA), nitrilotriacetic acid (NTA), citric acid, and glutamic acid.
7. The method of claim 6, wherein the complexing agent is ethylenediaminetetraacetic acid (EDTA).
8. The method of claim 1, wherein, during electroplating, the electrolyte is held at a temperature between about 20-80° C.
9. The method of claim 8, wherein, during electroplating, the electrolyte is held at a temperature between about 50-70° C.
10. The method of claim 1, wherein, during electroplating, the electroplating surface of the substrate experiences a current density of between about 0.1 and 2 mA/cm2.
11. The method of claim 1, wherein a pH of the electrolyte is between about 1-5.
12. The method of claim 1, wherein the semi-noble metal layer comprises a material selected from the group consisting of ruthenium, tungsten, cobalt, osmium, platinum, palladium, aluminum, gold, silver, iridium and rhodium.
13. The method of claim 12, wherein the semi-noble metal layer comprises ruthenium.
14. The method of claim 1, wherein at least some of the features have a width of about 100 nm or less.
15. The method of claim 14, wherein at least some of the features have a width of about 20 nm or less.
16. The method of claim 1, wherein the electrolyte comprises about 2 ppm or less dissolved oxygen.
17. A method of performing a one step electrofill process to fill features on a partially fabricated integrated circuit, comprising:
(a) receiving a substrate having an exposed semi-noble metal layer and a plurality of features thereon;
(b) contacting the substrate with electrolyte comprising between about 1-100 mM copper cations, wherein the electrolyte is substantially free of suppressors, accelerators and levelers; and
(c) while contacting the substrate with electrolyte, applying a modulated waveform that alternately pulses current at a first level that deposits copper on the substrate and a second level that etches copper from copper that was previously electroplated on the substrate, to thereby electroplate copper into the features by a bottom-up fill mechanism at a substrate potential for electrodeposition between about 0.03 and 0.33 V versus an NHE reference electrode.
18. The method of claim 17, wherein the second level of current that etches copper has an absolute value between about 0.05 and 0.3 mA/cm2, and wherein the pulses of current alternate between the first current level and second current level with a frequency between about 100-1000 Hz.
19. A method of depositing copper in a feature on a partially fabricated integrated circuit, comprising:
(a) receiving a substrate having a plurality of features and a copper seed layer thereon;
(b) contacting the substrate with electrolyte comprising between about 1-100 mM copper cations, wherein the electrolyte is substantially free of suppressors, accelerators and levelers; and
(c) electroplating copper into the feature by a bottom-up fill mechanism at a potential between about 0.03 and 0.33 V versus an NHE reference electrode.
20. The method of claim 19, wherein, during electroplating, the electrolyte is held at a temperature between about 20-80° C.
21. The method of claim 20, wherein, during electroplating, the electrolyte is held at a temperature between about 20-50° C.
22. The method of claim 19, wherein, during electroplating, the electroplating surface of the substrate has a current density of between about 0.1-2 mA/cm2.
23. The method of claim 19, wherein a pH of the electrolyte is between about 1-5.
24. The method of claim 19, at least some of the features have a width of about 100 nm or less.
25. The method of claim 24, at least some of the features have a width of about 20 nm or less.
26. The method of claim 19, wherein electroplating copper in operation (c) comprises applying a galvanostatically controlled current to the substrate.
27. An apparatus for electroplating copper into features on a substrate, comprising:
(a) one or more electroplating baths configured to contain electrolyte;
(b) a substrate supporter; and
(c) a controller having a set of instructions comprising instructions for:
receiving electrolyte into the one or more electroplating baths;
immersing the substrate in electrolyte;
maintaining a substrate potential between about 0.03-0.33 V versus an NHE reference electrode to thereby electroplate copper into the features by a bottom-up fill mechanism that does not substantially rely on the presence of suppressor, accelerator or leveler.
US14/010,404 2013-08-26 2013-08-26 Bottom-up fill in damascene features Abandoned US20150053565A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US14/010,404 US20150053565A1 (en) 2013-08-26 2013-08-26 Bottom-up fill in damascene features
TW108129091A TWI697589B (en) 2013-08-26 2014-08-25 Bottom-up fill in damascene features
TW103129240A TWI692555B (en) 2013-08-26 2014-08-25 Bottom-up fill in damascene features
KR1020140111787A KR102309859B1 (en) 2013-08-26 2014-08-26 Bottom-up fill in damascene features

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/010,404 US20150053565A1 (en) 2013-08-26 2013-08-26 Bottom-up fill in damascene features

Publications (1)

Publication Number Publication Date
US20150053565A1 true US20150053565A1 (en) 2015-02-26

Family

ID=52479389

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/010,404 Abandoned US20150053565A1 (en) 2013-08-26 2013-08-26 Bottom-up fill in damascene features

Country Status (3)

Country Link
US (1) US20150053565A1 (en)
KR (1) KR102309859B1 (en)
TW (2) TWI697589B (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150322587A1 (en) * 2014-05-09 2015-11-12 Applied Materials, Inc. Super conformal plating
CN108026655A (en) * 2015-10-08 2018-05-11 罗门哈斯电子材料有限责任公司 The copper electroplating bath of the compound of reaction product containing amine and polyacrylamide
US10006144B2 (en) 2011-04-15 2018-06-26 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
EP3288990A4 (en) * 2015-04-28 2019-03-13 Rohm and Haas Electronic Materials LLC Reaction products of bisanhydrids and diamines as additives for electroplating baths
US10329683B2 (en) 2016-11-03 2019-06-25 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants
CN110073485A (en) * 2016-12-15 2019-07-30 应用材料公司 The electrochemical deposition method of tight gap filling
US20240063110A1 (en) * 2022-03-18 2024-02-22 Chun-Ming Lin Conductive structure including copper-phosphorous alloy and a method of manufacturing conductive structure

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9777386B2 (en) * 2015-03-19 2017-10-03 Lam Research Corporation Chemistry additives and process for cobalt film electrodeposition
EP4133121A1 (en) * 2020-04-07 2023-02-15 Lam Research Corporation Electrofill from alkaline electroplating solutions

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303014B1 (en) * 1998-10-14 2001-10-16 Faraday Technology Marketing Group, Llc Electrodeposition of metals in small recesses using modulated electric fields
US20030015524A1 (en) * 2000-04-03 2003-01-23 Lambert Feher Compact microwave system for de-icing and for preventing icing of the outer surfaces of hollow or shell structures which are exposed to meterological influences
US20040072423A1 (en) * 2001-01-12 2004-04-15 Jacob Jorne Methods and systems for electro-or electroless-plating of metal in high-aspect ratio features
US20070006281A1 (en) * 2005-06-30 2007-01-04 Sameer Abhinkar Apparatus and method for platform-independent identity manageability
US20100004122A1 (en) * 2007-04-05 2010-01-07 Cataler Corporation Exhaust Gas-Purifying Catalyst
US20120009754A1 (en) * 2010-03-24 2012-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for main spacer trim-back

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6409903B1 (en) * 1999-12-21 2002-06-25 International Business Machines Corporation Multi-step potentiostatic/galvanostatic plating control
US7135404B2 (en) * 2002-01-10 2006-11-14 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition
FR2890983B1 (en) * 2005-09-20 2007-12-14 Alchimer Sa ELECTRODEPOSITION COMPOSITION FOR COATING A SURFACE OF A SUBSTRATE WITH A METAL
US7776741B2 (en) * 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303014B1 (en) * 1998-10-14 2001-10-16 Faraday Technology Marketing Group, Llc Electrodeposition of metals in small recesses using modulated electric fields
US20030015524A1 (en) * 2000-04-03 2003-01-23 Lambert Feher Compact microwave system for de-icing and for preventing icing of the outer surfaces of hollow or shell structures which are exposed to meterological influences
US20040072423A1 (en) * 2001-01-12 2004-04-15 Jacob Jorne Methods and systems for electro-or electroless-plating of metal in high-aspect ratio features
US20070006281A1 (en) * 2005-06-30 2007-01-04 Sameer Abhinkar Apparatus and method for platform-independent identity manageability
US20100004122A1 (en) * 2007-04-05 2010-01-07 Cataler Corporation Exhaust Gas-Purifying Catalyst
US20120009754A1 (en) * 2010-03-24 2012-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for main spacer trim-back

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10006144B2 (en) 2011-04-15 2018-06-26 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US20150322587A1 (en) * 2014-05-09 2015-11-12 Applied Materials, Inc. Super conformal plating
EP3288990A4 (en) * 2015-04-28 2019-03-13 Rohm and Haas Electronic Materials LLC Reaction products of bisanhydrids and diamines as additives for electroplating baths
US10435380B2 (en) 2015-04-28 2019-10-08 Rohm And Haas Electronic Materials Llc Metal plating compositions
CN108026655A (en) * 2015-10-08 2018-05-11 罗门哈斯电子材料有限责任公司 The copper electroplating bath of the compound of reaction product containing amine and polyacrylamide
US10329683B2 (en) 2016-11-03 2019-06-25 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants
US11078591B2 (en) 2016-11-03 2021-08-03 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants
CN110073485A (en) * 2016-12-15 2019-07-30 应用材料公司 The electrochemical deposition method of tight gap filling
US20240063110A1 (en) * 2022-03-18 2024-02-22 Chun-Ming Lin Conductive structure including copper-phosphorous alloy and a method of manufacturing conductive structure

Also Published As

Publication number Publication date
TW202012709A (en) 2020-04-01
KR20150024292A (en) 2015-03-06
TW201529906A (en) 2015-08-01
TWI692555B (en) 2020-05-01
KR102309859B1 (en) 2021-10-07
TWI697589B (en) 2020-07-01

Similar Documents

Publication Publication Date Title
KR102335508B1 (en) Tsv bath evaluation using field versus feature contrast
KR102546220B1 (en) Chemistry additives and process for cobalt film electrodeposition
KR102439386B1 (en) Process for optimizing cobalt electrofill using sacrificial oxidants
KR102309859B1 (en) Bottom-up fill in damascene features
US9856574B2 (en) Monitoring leveler concentrations in electroplating solutions
KR102364570B1 (en) Low copper electroplating solutions for fill and defect control
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
KR20110127617A (en) Through silicon via filling using an electrolyte with a dual state inhibitor
US20210156045A1 (en) Copper electrofill on non-copper liner layers
US20160102416A1 (en) Low copper/high halide electroplating solutions for fill and defect control
TW202129088A (en) Differential contrast plating for advanced packaging applications
US20160355939A1 (en) Polarization stabilizer additive for electroplating
WO2021207254A1 (en) Electrofill from alkaline electroplating solutions

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZHU, HUANFENG;REID, JONATHAN D.;SIGNING DATES FROM 20130816 TO 20130826;REEL/FRAME:031089/0160

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION