KR20150024292A - Bottom-up fill in damascene features - Google Patents

Bottom-up fill in damascene features Download PDF

Info

Publication number
KR20150024292A
KR20150024292A KR20140111787A KR20140111787A KR20150024292A KR 20150024292 A KR20150024292 A KR 20150024292A KR 20140111787 A KR20140111787 A KR 20140111787A KR 20140111787 A KR20140111787 A KR 20140111787A KR 20150024292 A KR20150024292 A KR 20150024292A
Authority
KR
South Korea
Prior art keywords
copper
substrate
electrolyte
electroplating
electric charge
Prior art date
Application number
KR20140111787A
Other languages
Korean (ko)
Other versions
KR102309859B1 (en
Inventor
후안펭 주
조나단 디. 리드
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150024292A publication Critical patent/KR20150024292A/en
Application granted granted Critical
Publication of KR102309859B1 publication Critical patent/KR102309859B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/60Electroplating characterised by the structure or texture of the layers
    • C25D5/605Surface topography of the layers, e.g. rough, dendritic or nodular layers
    • C25D5/611Smooth layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer

Abstract

Embodiments of the present invention relate to a method and an apparatus for filling features with copper by a bottom-up filling mechanism without using organic plating additives. In some cases, filling is directly carried out on a semiprecious metal layer without depositioning a copper seed layer. In other cases, filling is carried out on the copper seed layer. Factors such as polarization of an electrolyte, use of a complexing agent, electrolyte pH, and waveform used in depositioning the temperature of the electrolyte and a material can contribute to the promotion of the bottom-up filling.

Description

다마신 피처들 내의 보텀―업 충진{BOTTOM-UP FILL IN DAMASCENE FEATURES}BOTTOM-UP FILL IN DAMASCENE FEATURES in damascene features.

다마신 프로세싱에서, 구리는 부분적으로 제조된 반도체 기판 상의 피처들 내로 디포지션된다. 통상적인 구리 디포지션 (deposition) 은 통상적으로 2 개의 단계들로 일어난다. 먼저, 구리 시드 층이 물리적 기상 디포지션 (PVD) 을 사용하여서 기판 상에 디포지션된다. 이어서, 구리가 피처들을 충진하도록 시드 층 상에 전기도금된다. 다마신 상호접속부의 치수가 시간이 지남에 따라서 작아짐에 따라서, PVD를 통한 시드 층을 디포지션할 때에 모든 표면들에 걸쳐서 균일한 구리 커버리지를 획득하는 것이 점점 더 어려워지고 있다. 불균일한 시드 층 커버리지는, 얇은 구리 시드 구역들은 전기도금 프로세스의 초기 스테이지들 동안에 전해질에서의 산화 및 용해에 특히 민감하기 때문에, 문제가 된다. 달리 말하면, (예를 들어서, 피처의 측벽 상에서의) 보다 얇은 시드 구역은 전해질에 용해되어서 불연속 금속 시드 층을 낳는 경향이 있다. 전기도금이 이 불연속 시드 층 상에서 발생하는 경우에, 도금 결과들은 불균일하고 디펙트들이 도입될 수도 있다. In damascene processing, copper is deposited into features on partially fabricated semiconductor substrates. Conventional copper deposition typically takes place in two steps. First, the copper seed layer is deposited on the substrate using physical vapor deposition (PVD). The copper is then electroplated onto the seed layer to fill the features. As the dimension of the damascene interconnects decreases over time, it becomes increasingly difficult to obtain uniform copper coverage over all surfaces when depositing the seed layer through PVD. Uneven seed layer coverage is problematic because thin copper seed regions are particularly susceptible to oxidation and dissolution in the electrolyte during the initial stages of the electroplating process. In other words, a thinner seed zone (e.g., on the sidewalls of the feature) tends to dissolve in the electrolyte resulting in a discontinuous metal seed layer. When electroplating occurs on this discontinuous seed layer, the plating results are non-uniform and defects may be introduced.

특정 기법들 (예를 들어서, 고전압 초기 도금 조건들에서의 침지, 시드 사전-처리 등) 이 시드 용해를 줄이기 위해서 사용될 수도 있다. 그러나, 이러한 기법들이 완벽하게 사용된다고 하여도, 어느 정도의 시드 양의 용해가 예상된다. 이로써, 구리 시드 층의 디포지션을 요구하는 반도체 피처들 내에 구리를 디포지션하는 방법에 대한 필요가 존재한다.Certain techniques (e.g., immersion in high voltage initial plating conditions, seed pre-treatment, etc.) may be used to reduce seed dissolution. However, even if these techniques are used perfectly, dissolution of a certain seed amount is expected. There is therefore a need for a method of depositing copper in semiconductor features that require deposition of a copper seed layer.

개발된 일 기법은 루테늄 층과 같은 준귀금속 (semi-noble) 표면 상에 구리 시드 층을 직접적으로 전기도금함으로써 구리 시드를 디포지션하기 위해서 PVD 사용하지 않는 것이다. 그러나, 시드 층을 전기도금하는데 사용되는 프로세스 및 이후에 피처를 충진하는데 사용되는 프로세스는 실질적으로 상이한 전해질들을 요구하며 따라서 구리 전기도금은 2 개의 개별적인 프로세스들에 걸쳐서 발생해야 한다.The developed technique does not use PVD to deposit the copper seed by electroplating the copper seed layer directly onto a semi-noble surface such as a ruthenium layer. However, the process used to electroplating the seed layer and subsequently the process used to fill the features requires substantially different electrolytes, and thus the copper electroplating must occur over two separate processes.

다마신 상호접속부들에서 시드 층 상에 구리를 전기도금할 시에 사용되는 전해질들은 통상적으로 구리 염, 산, 할라이드 이온들, 가속화제, 억제제 및 평탄화제를 포함한다. 구리 염은 디포지션할 구리 소스이다. 산은 일반적으로 도금 욕의 전도도를 제어하는데 사용된다. 할라이드 이온들은 특정 유기 첨가제들 (가속화제, 억제제 및/또는 평탄화제) 의 기판 표면 상으로의 흡착을 지원하여서 이하에서 기술되는 바와 같이 통상적인 보텀-업 충진 메카니즘을 촉진하는 역할을 한다.Electrolytes used in electrodepositing copper on the seed layer in damascene interconnects typically include copper salts, acids, halide ions, accelerators, inhibitors, and leveling agents. The copper salt is the copper source to deposit. The acid is generally used to control the conductivity of the plating bath. The halide ions support the adsorption of certain organic additives (accelerators, inhibitors and / or leveling agents) onto the substrate surface and serve to promote the conventional bottom-up charging mechanism as described below.

구리의 통상적인 전기도금 시에, 유기 첨가제들은 목표된 야금사항, 막 균일성, 디펙트 제어 및 충진 성능을 달성하는데 중요하다. 그러나, 유기 첨가제들의 농도는 시간에 지남에 따라서 변하며 적합한 도금 결과들을 보장하기 위해서 전해질 조성을 추적하기 위해서 신중한 주의가 요한다. 첨가제들의 농도가 다수의 경우들에서 매우 낮으며, 적절한 허용오차 내에서 전해질 농도를 정확하게 추적하는 것이 어렵다. 이러한 어려움으로 인해서, 기판의 특정 부분은 첨가제들의 적합한 균형을 가지지 않는 욕들 내에서 도금될 수도 있으며, 후속 사용을 위해서 적합하지 않을 수도 있다. 따라서, 가속화제, 억제제 또는 평탄화제와 같은 통상적인 유기 첨가제들을 채용하지 않는, 반도체 피처들 내로 구리를 전기도금하는 방법에 대한 필요가 존재한다.In the conventional electroplating of copper, the organic additives are important to achieve the desired metallurgical properties, film uniformity, defect control and filling performance. However, the concentration of organic additives varies with time and requires careful care to trace the electrolyte composition to ensure proper plating results. The concentrations of the additives are very low in many cases and it is difficult to accurately trace the electrolyte concentration within the appropriate tolerances. Because of this difficulty, certain portions of the substrate may be plated in baths that do not have the proper balance of additives and may not be suitable for subsequent use. There is therefore a need for a method of electroplating copper into semiconductor features that does not employ conventional organic additives such as accelerators, inhibitors, or leveling agents.

본 명세서에서의 특정 실시예들은 기판 상의 피처 내로의 보텀-업 충진을 수행하기 위한 방법들 및 장치에 관한 것이다. 본 명세서에서의 실시예들의 일 양태에서, 부분적으로 제조된 집적 회로 상의 피처들을 충진하도록 단일 단계 전기충진 (electrofill) 프로세스를 수행하는 방법이 제공된다. 이 방법은 (a) 기판 상에 노출된 준귀금속 (semi-noble metal) 층 및 복수의 피처들을 갖는 기판을 수용하는 단계; (b) 기판을 전해질과 접촉시키는 단계로서, 전해질은, (i) 약 1 내지 100 mM 구리 양이온들; 및 (ii) 구리 양이온들과 착물 (complex) 를 형성하는 착화제 (complexing agent) 를 포함하며, 전해질에는 억제제들, 가속화제들 및 평탄화제가 실질적으로 존재하지 않는, 기판을 전해질과 접촉시키는 단계; 및 (c) 전해질과 접촉시키면서, NHE (normal hydrogen eletrode) 기준 전극에 대하여 약 0.03 내지 0.33 V의 전기디포지션 (electrodeposition) 용 기판 전위에서의 보텀-업 (bottom-up) 충진 메카니즘에 의해서, 피처들 내로 구리를 전기도금하는 단계를 포함할 수도 있다. Certain embodiments herein relate to methods and apparatus for performing bottom-up filling into a feature on a substrate. In one aspect of embodiments herein, a method is provided for performing a single step electrofill process to fill features on a partially fabricated integrated circuit. The method includes the steps of: (a) receiving a substrate having a semi-noble metal layer and a plurality of features exposed on the substrate; (b) contacting the substrate with an electrolyte, wherein the electrolyte comprises: (i) about 1 to 100 mM copper cations; And (ii) a complexing agent that forms a complex with the copper cations, wherein the electrolyte is substantially free of inhibitors, accelerators, and a planarizing agent; And (c) a bottom-up filling mechanism at a substrate potential for electrodeposition of about 0.03 to 0.33 V relative to an NHE (normal hydrogen eletrode) reference electrode while in contact with the electrolyte, Lt; RTI ID = 0.0 > electroplating < / RTI >

다양한 실시예들에서, 억제제들, 가속화제들 또는 평탄화제는 보텀-업 충진 메카니즘에 실질적으로 기여하지 않을 수도 있다. 보텀-업 충진은 시드 층을 형성하지 않고서, 준귀금속 층 상에서 직접적으로 수행될 수도 있다. 다양한 상이한 파형들이 사용될 수도 있다. 몇몇 경우들에서, (c) 에서의 구리를 전기도금하는 단계는 기판 상에 구리를 디포지션하는 제 1 레벨 및 기판 상에 이전에 전기도금된 구리로부터 구리를 에칭하는 제 2 레벨로 전류를 교번하여서 펄싱하는 변조된 파형을 인가하는 단계를 포함한다. 구리를 에칭하는 전류의 제 2 레벨은 300 mm 직경 웨이퍼에 대해서 약 0.1 mA 미만의 절대 값을 가질 수도 있다. 특정 실시예들에서, 전류의 펄스들은 제 1 전류 레벨과 제 2 전류 레벨 간에서 약 100 내지 1000 Hz로 교번한다. 이러한 경우들 또는 다른 경우들에서, 기판의 전기도금 표면은 약 0.004 내지 0.4 mA/cm2 전류 밀도를 경험할 수도 있다. In various embodiments, the inhibitors, accelerators or leveling agents may not contribute substantially to the bottom-up filling mechanism. Bottom-up filling may be performed directly on the semi-precious metal layer, without forming a seed layer. A variety of different waveforms may be used. In some cases, electroplating the copper in (c) comprises alternating between a first level of depositing copper on the substrate and a second level of etching copper from the previously electroplated copper on the substrate And applying pulsed modulated waveforms. The second level of current etching copper may have an absolute value of less than about 0.1 mA for a 300 mm diameter wafer. In certain embodiments, pulses of current alternate between about 100 and 1000 Hz between a first current level and a second current level. In these or other cases, the electroplating surface of the substrate may experience a current density of about 0.004 to 0.4 mA / cm < 2 & gt ;.

다수의 상이한 착화제들이 사용될 수도 있다. 몇몇 구현예들에서, 착화제는 EDTA (ethylenediaminetetraacetic acid), NTA (nitrilotriacetic acid), 시트릭 산 (citric acid), 및 클루탐 산 (glutamic acid) 으로 구성된 그룹으로부터 선택된다. 특정 경우에서, 착화제는 EDTA (ethylenediaminetetraacetic acid), NTA (nitrilotriacetic acid) 이다. 전해질은 약 상온에 있거나 그보다 높을 수도 있다. 일 실시예에서, 전해질은 약 20 내지 80 ℃ 온도, 예를 들어서 약 50 내지 70 ℃ 온도에서 유지된다. 전해질의 pH는 약 1 내지 5이며, 몇몇 경우들에서 약 1.5 내지 3.5일 수도 있다. 전해질의 용해된 산소 함량은 약 2 ppm 이하일 수도 있다. A number of different complexing agents may be used. In some embodiments, the complexing agent is selected from the group consisting of ethylenediaminetetraacetic acid (EDTA), nitrilotriacetic acid (NTA), citric acid, and glutamic acid. In certain cases, the complexing agent is ethylenediaminetetraacetic acid (EDTA) or nitrilotriacetic acid (NTA). The electrolyte may be at or near room temperature. In one embodiment, the electrolyte is maintained at a temperature of about 20 to 80 캜, such as about 50 to 70 캜. The pH of the electrolyte is about 1 to 5, and in some cases it can be about 1.5 to 3.5. The dissolved oxygen content of the electrolyte may be about 2 ppm or less.

본 명세서에서의 방법들은 다양한 상이한 금속들 상에 도금을 하는데 사용될 수도 있다. 몇몇 경우들에서, 준귀금속 층은 루테늄, 텅스텐, 코발트, 오스뮴, 백금, 팔라듐, 알루미늄, 금, 은, 이리듐 및 로듐으로 구성된 그룹으로부터 선택된 재료를 포함한다. 특정 경우에서, 준귀금속 층은 루테늄이다. 몇몇 실시예들에서, 반도체 기판 상의 피처들의 적어도 일부는 약 100 nm 이하의 개방 폭을 가질 수도 있다. 예를 들어서, 몇몇 경우들에서, 피처들은 약 20 nm 이하의 폭을 가질 수도 있다. The methods herein may be used for plating on a variety of different metals. In some cases, the semi-precious metal layer comprises a material selected from the group consisting of ruthenium, tungsten, cobalt, osmium, platinum, palladium, aluminum, gold, silver, iridium and rhodium. In certain cases, the semi-precious metal layer is ruthenium. In some embodiments, at least some of the features on the semiconductor substrate may have an open width of about 100 nm or less. For example, in some cases, the features may have a width of about 20 nm or less.

개시된 실시예들의 다른 양태에서, 부분적으로 제조된 집적 회로 상의 피처 내에 구리를 디포지션하는 방법이 제공된다. 이 방법은 (a) 기판 상에 복수의 피처들 및 구리 시드 층을 갖는 기판을 수용하는 단계; (b) 기판을 전해질과 접촉시키는 단계로서, 전해질은 (i) 약 1 내지 100 mM 구리 양이온들을 포함하며, 전해질에는 억제제들, 가속화제들 및 평탄화제가 실질적으로 존재하지 않는, 기판을 전해질과 접촉시키는 단계; 및 (c) NHE (normal hydrogen eletrode) 기준 전극에 대하여 약 0.03 내지 0.33 V의 전위에서의 보텀-업 충진 메카니즘에 의해서, 피처 내로 구리를 전기도금하는 단계를 포함할 수도 있다. In another aspect of the disclosed embodiments, a method of depositing copper in a feature on a partially fabricated integrated circuit is provided. The method includes the steps of: (a) receiving a substrate having a plurality of features and a copper seed layer on a substrate; (b) contacting the substrate with an electrolyte, wherein the electrolyte comprises (i) about 1 to 100 mM copper cations, wherein the electrolyte is substantially free of inhibitors, accelerators and planarizing agent, ; And (c) electroplating the copper into the feature by a bottom-up filling mechanism at a potential of about 0.03 to 0.33 V for a normal hydrogen eletrode reference electrode.

몇몇 실시예들에서, 전기도금 동안에, 전해질은 약 20 내지 80 ℃ 온도, 예를 들어서, 약 20 내지 50 ℃ 온도에서 유지된다. 기판의 전기도금 표면은 약 0.004 내지 0.4 mA/cm2 전류 밀도를 경험할 수도 있다. 특정 구현예들에서, 전해질의 pH는 약 1 내지 5이며, 예를 들어서, 약 1.5 내지 3.5일 수도 있다. 개시된 방법들은 상대적으로 작은 피처들을 충진하는데 사용될 수도 있다. 몇몇 경우들에서, 피처들의 적어도 일부는 약 100 nm 이하의 폭, 예를 들어서 약 20 nm 이하의 폭을 갖는다. 특정 실시예들에서, (c) 에서의 구리를 전기도금하는 단계는 갈바노스테틱하게 (galvanostatically) 제어된 전류를 기판에 인가하는 단계를 포함한다. In some embodiments, during electroplating, the electrolyte is maintained at a temperature of about 20 to 80 캜, for example, about 20 to 50 캜. The electroplating surface of the substrate may experience a current density of about 0.004 to 0.4 mA / cm < 2 & gt ;. In certain embodiments, the pH of the electrolyte is from about 1 to about 5, such as from about 1.5 to about 3.5. The disclosed methods may be used to fill relatively small features. In some cases, at least some of the features have a width of about 100 nm or less, e.g., about 20 nm or less. In certain embodiments, electroplating copper in (c) comprises applying a galvanostatically controlled current to the substrate.

이러한 특징들 및 다른 특징들이 관련된 도면들을 참조하여서 이하에서 기술될 것이다. These and other features will be described below with reference to the accompanying drawings.

도 1은 노출된 준귀금속 층을 갖는 기판 상의 피처 내로 구리를 전기도금하는 방법의 흐름도이다.
도 2는 노출된 구리 시드 층을 갖는 기판 상의 피처 내로 구리를 전기도금하는 방법의 흐름도이다.
도 3은 개시된 실시예들에 따른 예시적인 다중-스테이션 장치를 도시한다.
도 4는 개시된 실시예들에 따른 다중-스테이션 장치의 다른 구현예이다.
도 5는 전해질 내의 상이한 착화제들 (complexing agents) 의 상대적 분극 효과를 도시하는 그래프이다.
도 6은 전해질 내의 상이한 구리 양이온 농도들 및 상이한 pH 레벨들의 상대적 분극 효과를 도시하는 그래프이다.
도 7은 상이한 전해질 온도들의 상대적 분극 효과를 도시하는 그래프이다.
도 8a 내지 도 8c는 0.4 mA (도 8a), 0.6 mA (도 8b), 및 1 mA (도 8c) 에서 도금된 루테늄 시딩된 (seeded) 트렌치 쿠폰들 (coupons) 의 SEM 이미지들을 도시한다.
도 9a 내지 도 9c는 상온 (도 9a), 50℃ (도 9b), 및 70℃ (도 9c) 에서 변조된 파형들을 사용하여서 도금된 루테늄 시딩된 트렌치 쿠폰들의 SEM 이미지들을 도시한다.
도 10a 및 도 10b는 착화제들로서 NTA (도 10a) 및 글루탐산 (glutamic acid) 을 함유하는 전해질들 내에서 도금된 루테늄 시딩된 트렌치 쿠폰들의 SEM 이미지들을 도시한다.
도 11a 내지 도 11c 및 도 12a 내지 도 12c는 상이한 온도들에서 도금된 구리 시딩된 트렌치 쿠폰들의 단면 SEM 이미지들 (도 11a 내지 도 11c) 및 탑-다운 (top-down) SEM 이미지들 (도 12a 내지 도 12c) 을 도시한다.
도 13은 착화제가 없는 전해질에서 도금된 구리 시딩된 트렌치 쿠폰의 TEM 이미지를 도시한다.
Figure 1 is a flow chart of a method of electroplating copper into a feature on a substrate having an exposed precious metal layer.
2 is a flow chart of a method of electroplating copper into a feature on a substrate having an exposed copper seed layer.
Figure 3 illustrates an exemplary multi-station device in accordance with the disclosed embodiments.
Figure 4 is another implementation of a multi-station device in accordance with the disclosed embodiments.
Figure 5 is a graph showing the relative polarization effects of different complexing agents in the electrolyte.
Figure 6 is a graph showing the relative polarization effects of different copper cation concentrations and different pH levels in the electrolyte.
Figure 7 is a graph showing the relative polarization effects of different electrolyte temperatures.
Figures 8a-8c show SEM images of ruthenium seeded trench coupons plated at 0.4 mA (Figure 8a), 0.6 mA (Figure 8b), and 1 mA (Figure 8c).
Figures 9a-9c show SEM images of plated ruthenium seeded trench coupons using modulated waveforms at room temperature (Figure 9a), 50 ° C (Figure 9b), and 70 ° C (Figure 9c).
Figures 10a and 10b show SEM images of ruthenium seeded trench coupons plated in electrolytes containing NTA (Figure 10a) and glutamic acid as complexing agents.
Figures 11a-11c and 12a-12c illustrate cross-sectional SEM images (Figures 11a-11c) and top-down SEM images of copper seeded trench coupons plated at different temperatures 12C). ≪ / RTI >
Figure 13 shows a TEM image of a copper-seeded trench coupon plated in an electrolyte free of complexing agent.

본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로" 는 상호교환가능하게 사용된다. 본 기술 분야의 당업자는 "부분적으로 제조된 집적 회로"는 그 상에서의 다수의 집적 회로 제조 스테이지들 중 임의의 스테이지 동안의 실리콘 웨이퍼를 말할 수 있다. 반도체 디바이스 산업에서 사용되는 웨이퍼 또는 기판은 통상적으로 200 mm, 300 mm 또는 450 mm의 직경을 갖는다. 또한, 용어들 "전해질", "도금 욕", "욕" 및 "도금 용액"도 상호교환가능하게 사용된다. 다음의 상세한 설명은 본 발명이 웨이퍼 상에서 구현됨을 가정한다. 하지만, 본 발명은 이로 한정되는 것은 아니다. 작업 피스는 다양한 크기, 형상 및 재료들을 가질 수도 있다. 반도체 웨이퍼들 이외에, 본 발명을 이용할 수 있는 다른 작업 피스들은 인쇄 회로 기판 등과 같은 다양한 물체들을 포함한다.In this specification, the terms "semiconductor wafer", "wafer", "substrate", "wafer substrate" and "partially fabricated integrated circuit" are used interchangeably. A person skilled in the art can refer to a "partially fabricated integrated circuit" as a silicon wafer during any of a plurality of integrated circuit fabrication stages thereon. The wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, 300 mm or 450 mm. The terms "electrolyte "," plating bath ", "bath" and "plating solution" are also used interchangeably. The following detailed description assumes that the present invention is implemented on a wafer. However, the present invention is not limited thereto. The workpiece may have various sizes, shapes and materials. In addition to semiconductor wafers, other workpieces that may utilize the present invention include various objects such as printed circuit boards and the like.

다음의 설명에서, 다수의 특정 세부사항들이 제공된 실시예들의 철저한 이해를 제공하기 위해서 제시된다. 개시된 실시예들은 이러한 특정 세부사항들 전부 또는 일부 없이도 실시될 수 있다. 다른 실례들에서, 잘 알려진 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로는 기술되지 않았다. 개시된 실시예들이 특정 실시예들과 관련되게 기술되지만, 이는 개시된 실시예들을 한정하는 것으로 해석되지 말아야 함이 이해될 것이다.In the following description, numerous specific details are set forth in order to provide a thorough understanding of the embodiments provided. The disclosed embodiments may be practiced without all or any of these specific details. In other instances, well-known process operations have not been described in detail in order not to unnecessarily obscure the disclosed embodiments. While the disclosed embodiments are described in connection with specific embodiments, it should be understood that they should not be construed as limiting the disclosed embodiments.

상술한 바와 같이, 통상적인 구리 디포지션 프로세스들은 통상적으로 보텀-업 충진을 달성하기 위해서 억제제들, 가속화제들 및 평탄화제들과 같은 유기 첨가제들을 사용한다. 본 명세서에서의 실시예들이 이러한 첨가제들의 사용을 요구하지는 않으며 이들의 부재로부터 이점을 취할 수도 있지만, 첨가제들은 개시된 구현예들과 비교하기 위해서 이하에서 논의될 것이다.As noted above, conventional copper deposition processes typically employ organic additives such as inhibitors, accelerators, and leveling agents to achieve bottom-up filling. Although the embodiments herein do not require the use of these additives and may benefit from their absence, the additives will be discussed below for comparison with the disclosed embodiments.

억제제들Inhibitors

어떠한 작용 메카니즘 또는 이론에 얽매이지 않고, 억제제들은 (다른 욕 첨가제들과 함께 또는 단독으로) 특히 표면 화학흡착 할로젠화물 (예를 들어서, 클로라이드 또는 브로마이드) 과 함께 존재할 때에, 기판-전해질 계면에 걸친 전압 강하를 크게 증가시키는 표면-키네틱 분극화 화합물 (surface-kinetic polarizing compound) 이라고 사료된다. 할로젠화물은 억제제 분자들과 웨이퍼 표면 간의 화학흡착된-브리지 (chemisorbed-bride) 역할을 할 수 있다. 억제제는 (1) 억제제가 존재하는 영역들에서의 기판 표면의 국부적 분극은 억제제가 존재하지 않는 영역들에 비하여 증가시키고, (2) 전반적으로 기판 표면의 분극을 증가시킨다. 증가된 분극 (국부적 분극 및/또는 전체적 분극) 은 증가된 저항/임피던스를 대응하며, 이로써 특정 인가된 전위에서 저속 (slower) 도금에 대응한다.Without being bound by any action mechanism or theory, it is believed that inhibitors, when present together with the surface chemisorbable (such as chloride or bromide) (especially with or without other bath additives) It is considered to be a surface-kinetic polarizing compound that greatly increases the voltage drop. The halide can act as a chemisorbed-bridge between the inhibitor molecules and the wafer surface. The inhibitor (1) increases the local polarization of the substrate surface in regions where the inhibitor is present compared to the regions where no inhibitor is present, and (2) increases the polarization of the substrate surface as a whole. Increased polarization (local and / or global polarization) corresponds to increased resistance / impedance, thereby corresponding to slower plating at certain applied potentials.

억제제들이 기판 표면 상으로 흡착될지라도, 억제제들은 디포지션된 막 내로 포함되지 않으며 시간이 지남에 따라서 느리게 열화된다고 사료된다. 기판 표면 상으로 흡착됨으로써 주로 작용하지 않는 화합물들은 억제제들로 간주되지 않는다. 때로, 억제제들은 상대적으로 큰 분자들이며, 많은 경우들에서, 억제제들은 성질상 폴리머성이다 (예를 들어서, 폴리에틸렌 옥사이드 (polyethylene oxide), 폴리프로필렌 옥사이드 (polypropylene oxide), 폴리에틸렌 글리콜 (polyethylene glycol), 폴리프로필렌 글리콜 (polypropylene glycol), 등). 억제제들의 다른 실례는 S-함유 및/또는 N-함유 기능 그룹들을 갖는 폴리에틸렌 옥사이드 (polyethylene oxide) 및 폴리프로필렌 옥사이드 (polypropylene oxide), 폴리에틸렌 옥사이드 (polyethylene oxide) 및 폴리프로필렌 옥사이드 (polypropylene oxide) 의 블록 폴리머들, 등을 포함한다. 억제제들은 선형 체인 구조들 또는 브랜치 구조들을 가질 수 있다. 다양한 분자 중량들을 갖는 억제제 분자들은 상업적 억제제 용액 내에서 공존하는 것이 통상적이다. 부분적으로 억제제의 큰 크기로 인해서, 이러한 화합물들의 리세스된 피처 내로의 확산은 상대적으로 느릴 수 있다. Although the inhibitors are adsorbed onto the substrate surface, it is believed that the inhibitors are not included in the deposited film and slowly deteriorate over time. Compounds that do not act predominantly by adsorption onto the substrate surface are not considered inhibitors. In some cases, inhibitors are relatively large molecules, and in many cases the inhibitors are naturally polymeric (e.g., polyethylene oxide, polypropylene oxide, polyethylene glycol, poly Propylene glycol, etc.). Other examples of inhibitors are polyethylene oxide and block polymers of polypropylene oxide, polyethylene oxide and polypropylene oxide having S-containing and / or N-containing functional groups. And the like. Inhibitors may have linear chain structures or branch structures. It is common for inhibitor molecules with various molecular weights to coexist in a commercial inhibitor solution. Due to the large size of the inhibitor in part, the diffusion of these compounds into the recessed features may be relatively slow.

가속화제들Accelerators

어떠한 작용 메카니즘 또는 이론에 얽매이지 않고, 가속화제들은 (다른 욕 첨가제들과 함께 또는 단독으로) 억제제들의 존재와 연관된 분극 효과를 국부적으로 줄여서 전기디포지션 레이트 (electrodeposition rate) 를 국부적으로 증가시키는 경향을 갖는 것으로 사료된다. 감소된 분극 효과는 흡착된 가속화제가 가장 집중된 영역들에서 가장 현저하다 (즉, 분극은 흡착된 가속화제의 국부적 표면 농도의 함수로서 감소된다). 예시적인 가속화제들은 다음으로 한정되지 않지만 DSA ( dimercaptopropane sulfonic acid), 디메르캡토에탄 술포닉 산 (dimercaptoethane sulfonic acid), MSA (mercaptopropane sulfonic acid), 메르캡토에탄 술포닉 산 (mercaptoethane sulfonic acid), SPS (bis-(3-sulfopropyl) disulfide), 및 이들의 유도체들을 포함한다. 가속화제는 도금 반응들로 인해서 기판 표면에 강하게 흡착되고 대체적으로 횡방향으로 (laterally) 표면 고정되지만, 가속화제는 대체적으로 막 내로 도입되지 않는다. 이로써, 가속화제는 금속이 디포지션된 때에 표면에 남게 된다. 리세스가 충진되면서, 국부적 가속화제 농도는 리세스 내의 표면 상에서 증가한다. 가속화제들은 억제제들에 비해서 소형 분자들이며 리세스된 피처 내로의 신속한 확산을 보이는 경향이 있다. 기판 표면 상으로 흡착됨으로써 주로 작용하지 않는 화합물들은 가속화제들로 간주되지 않는다. Without being bound by any mechanism or theory of action, the accelerators tend to locally reduce the polarization effect associated with the presence of inhibitors (either alone or in combination with other bath additives), thereby locally increasing the electrodeposition rate . The reduced polarization effect is most pronounced in the areas in which the adsorbed accelerator is most concentrated (i.e., the polarization is reduced as a function of the local surface concentration of the adsorbed accelerator). Exemplary accelerators include, but are not limited to, dimercaptopropane sulfonic acid (DSA), dimercaptoethane sulfonic acid, mercaptopropane sulfonic acid (MSA), mercaptoethane sulfonic acid, SPS bis- (3-sulfopropyl) disulfide, and derivatives thereof. The accelerator is strongly adsorbed on the substrate surface due to the plating reactions and is generally surface-fixed laterally, but the accelerator is generally not introduced into the film. As a result, the accelerator remains on the surface when the metal is deposited. As the recess is filled, the local accelerator concentration increases on the surface in the recess. Accelerators are small molecules compared to inhibitors and tend to exhibit rapid diffusion into the recessed features. Compounds that do not act predominantly by adsorption onto the substrate surface are not considered accelerators.

평탄화제들Flatteners

어떠한 작용 메카니즘 또는 이론에 얽매이지 않고, 평탄화제들은 (다른 욕 첨가제들과 함께 또는 단독으로) 특히 필드 영역 및 피처의 측벽들에서 가속화제들과 연관된 탈분극 효과를 상쇄하도록 억제제 역할을 한다고 사료된다. 평탄화제는 기판의 분극/표면 저항을 국부적으로 증가시키며 이로써 평탄화제가 흡착된 영역들에서 국부적 전기디포지션 반응을 느리게 한다. 평탄화제의 국부적 농도는 어느 정도로는 매스 이송 (mass transport) 에 의해서 결정된다. 따라서, 평탄화제는 표면으로부터 멀리 돌출된 기하 구조들을 갖는 표면 구조물들에 대해서 주로 작용한다. 이러한 작용은 전기디포지션된 층의 표면을 "평탄화한다". 많은 경우들에서, 평탄화제는 확산 제한된 레이트이거나 이에 근사한 레이트로 기판 표면에서 반응 또는 소모되며 이로써 평탄화제의 연속적인 공급은 때로 시간에 따라서 균일한 도금 상태들을 유지할 시에 유리하다고 사료된다.Without being bound by any mechanism or theory of action, it is believed that the planarizing agents (either alone or together with other bath additives) act as inhibitors to offset the depolarizing effects associated with the accelerators, particularly in the sidewalls of the field regions and features. The planarizing agent locally increases the polarization / surface resistance of the substrate, thereby slowing the local electrical deposition reaction in the areas where the planarizing agent is adsorbed. The local concentration of the leveling agent is determined to some extent by mass transport. Thus, the leveling agent acts mainly on surface structures having geometries that protrude away from the surface. This action "planarizes" the surface of the electrically-deposited layer. In many cases, the leveling agent is reacted or consumed at the substrate surface at a rate that is at or near a diffusion limited rate, and thus a continuous supply of the leveling agent is sometimes advantageous in maintaining uniform plating conditions over time.

평탄화제 화합물들은 일반적으로 그들의 전기화학적 기능 및 영향에 기초하여서 여러 평탄화제들로서 분류되며 특정 화학적 구조 또는 조성 (formulation) 을 요구하지 않는다. 그러나, 평탄화제는 때로 하나 이상의 질소, 아민, 이미드 또는 아미다졸을 포함하고 또한 황 기능 그룹들을 포함할 수 있다. 기판 표면 상에 흡착함으로써 주로 작용하지 않는 화합물들은 평탄화제들로 고려되지 않는다. 특정 평탄화제들은 하나 이상의 5 및 6 멤버 (member) 링들 및/또는 컨주게이션된 (conjugated) 유기 화합물 유도체들을 포함한다. 질소 그룹은 링 구조의 일부를 형성할 수 있다. 아민-함유 평탄화제들에서, 아민들은 1 차 알킬 아민, 2 차 알킬 아민 또는 3 차 알킬 아민일 수 있다. 또한, 아민은 아릴 아민 또는 헤테로사이클릭 아민일 수 있다. 예시적인 아민들은 다음으로 한정되지 않지만 디알킬아민 (dialkylamines), 트리알킬아민 (trialkylamines), 아릴알킬아민 (arylalkylamines), 트리아졸들 (triazoles), 이미다졸 (imidazole), 트리아졸 (triazole), 테트라졸 (tetrazole), 벤즈이미다졸 (benzimidazole), 벤조트리아졸 (benzotriazole), 피페리딘 (piperidine), 모르폴린 (morpholines), 피페라진 (piperazine), 피리딘 (pyridine), 옥사졸 (oxazole), 벤즈옥사졸 (benzoxazole), 피리미딘 (pyrimidine), 쿠오놀린 (quonoline), 및 이소퀴놀린 (isoquinoline) 을 포함한다. 이미다졸 및 피리딘이 특히 유용할 수 있다. 평탄화제 화합물들은 또한 에톡사이드 그룹 (ethoxide groups) 을 포함할 수 있다. 예를 들어서, 평탄화제는 폴리에틸렌 글리콜 또는 폴리에틸렌 옥사이드에서 발견되는 것과 유사한 일반적인 백본 (backbone) 및 이 체인에 걸쳐서 기능적으로 삽입된 아민의 단편들을 포함할 수 있다 (예를 들어서, Janus Green B). 그 실례는 다음으로 한정되지 않지만 에피클로로하이드린 (epichlorohydrin) 및 에피브로모하이드린 (epibromohydrin) 과 같은 에피할로하이드린들 (epihalohydrins) 및 폴리에폭사이드 화합물들 (polyepoxide compounds) 을 포함한다. 에테르-함유 링키지 (linkage) 에 의해서 서로 결합된 2 개 이상의 에폭사이드 부분들 (moieties) 을 갖는 폴리에폭사이드 화합물들이 특히 유용할 수 있다. 몇몇 평탄화제 화합물들은 폴리머성이지만 다른 것들은 그렇지 않다. 예시적인 폴리머성 평탄화제 화합물들은 다음으로 한정되지 않지만 폴리에틸렌이민 (polyethylenimine), 폴리아미도아민 (polyamidoamines), 및 아민과 다양한 산소 에폭사이드들 또는 설파이드들의 반응 생성물들을 포함한다. 비폴리머성 평탄화제의 일 실례는 6-메르캅토-헥산올 (6-mercapto-hexanol) 이다. 다른 예시적인 평탄화제는 PVP (polyvinylpyrrolidone) 이다.Planarizing compounds are generally classified as various planarizing agents based on their electrochemical function and effect and do not require a particular chemical structure or formulation. However, the leveling agent sometimes contains one or more nitrogen, amine, imide or amidazole and may also contain sulfur functional groups. Compounds that do not act predominantly by adsorption on the substrate surface are not considered as planarizing agents. Specific planarizing agents include one or more 5 and 6 member rings and / or conjugated organic compound derivatives. The nitrogen group may form part of the ring structure. In the amine-containing leveling agents, the amines may be primary alkyl amines, secondary alkyl amines or tertiary alkyl amines. In addition, the amine may be an arylamine or a heterocyclic amine. Exemplary amines include, but are not limited to, dialkylamines, trialkylamines, arylalkylamines, triazoles, imidazole, triazole, tetra The present invention relates to a process for the preparation of benzimidazole derivatives of the formula I wherein R is selected from the group consisting of tetrazole, benzimidazole, benzotriazole, piperidine, morpholines, piperazine, pyridine, oxazole, Include benzoxazole, pyrimidine, quinoline, and isoquinoline. Imidazole and pyridine may be particularly useful. The planarizing compounds may also include ethoxide groups. For example, the leveling agent may comprise a common backbone similar to that found in polyethylene glycol or polyethylene oxide and fragments of functionally inserted amines across the chain (see, for example, Janus Green B). Examples include, but are not limited to, epihalohydrins, such as epichlorohydrin and epibromohydrin, and polyepoxide compounds. Polyepoxide compounds having two or more epoxide moieties bonded together by an ether-containing linkage may be particularly useful. Some of the planarizing compounds are polymeric, while others are not. Exemplary polymeric planarizing compounds include, but are not limited to, polyethylenimine, polyamidoamines, and reaction products of amines and various oxygen epoxides or sulfides. One example of a non-polymeric leveling agent is 6-mercapto-hexanol. Another exemplary leveling agent is PVP (polyvinylpyrrolidone).

유기 첨가제들에 의해서 촉진되는 보텀-업 충진Bottom-up filling promoted by organic additives

보텀-업 충진 메카니즘에서, 도금 표면 상의 리세스된 표면은 이 피처의 하단 (bottom) 에서 상단 (top) 으로 그리고 내측에서는 피처의 측벽으로부터 피처의 중앙으로 금속으로 도금되는 경향이 있다. 균일한 충진을 달성하고 피처 내에서의 공극 (voids) 을 도입하는 것을 피하기 위해서, 피처 내에서 그리고 필드 영역에서 디포지션 레이트를 제어하는 것이 중요하다. 통상적인 애플리케이션들에서, 상술된 3 가지 타입의 첨가제들은 보텀-업 충진을 달성하는데 유리하며, 각 첨가제는 기판 표면에서의 분극을 선택적으로 증가 또는 감소시키도록 동작한다.In the bottom-up filling mechanism, the recessed surface on the plating surface tends to be plated with metal from the bottom to top of the feature and from the sidewall of the feature to the center of the feature on the inside. In order to achieve uniform filling and avoid introducing voids in the feature, it is important to control the deposition rate in the feature and in the field area. In typical applications, the three types of additives described above are advantageous to achieve bottom-up filling, and each additive operates to selectively increase or decrease polarization at the substrate surface.

기판이 전해질 내에 침지된 (immersed) 후에, 억제제가 특히 필드 영역과 같은 노출된 영역에서 기판의 표면 상으로 흡착된다. 초기 도금 스테이지들에서, 리세스된 피처의 상단과 하단 간의 억제제 농도의 실질적 차가 존재한다. 이러하 차는 억제제 분자의 상대적으로 큰 크기 및 이에 대응하는 그의 저속 이송 특성으로 인해서 존재한다. 이러한 동일한 초기 도금 시간에 걸쳐서, 가속화제가 피처의 하단 및 측벽들을 포함하는 전체 도금 표면에 걸쳐서 낮고 실질적으로 균일한 농도로 축적된다고 사료된다. 가속화제는 억제제보다 신속하게 피처 내로 확산되기 때문에, 피처 내에서 (특히, 피처 하단에서) 가속화제:억제제의 초기 비는 상대적으로 높다. 피처 내의 이러한 상대적으로 높은 초기 가속화제:억제제 비는 피처의 하단으로부터 위로 그리고 측벽들로부터 내측으로의 신속한 도금을 촉진시킨다. 한편, 필드 영역 내의 초기 도금 레이트는 낮은 가속화제:억제제 비로 인해서 상대적으로 낮다. 따라서, 초기 도금 스테이지들에서, 도금은 필드 영역 내에서 상대적으로 느리게 발생하고 피처 내에서는 상대적으로 빠르게 발생한다.After the substrate is immersed in the electrolyte, the inhibitor is adsorbed onto the surface of the substrate, particularly in the exposed areas such as the field areas. In the initial plating stages, there is a substantial difference in inhibitor concentration between the top and bottom of the recessed features. This difference is due to the relatively large size of the inhibitor molecule and its corresponding slow transfer characteristics. Over this same initial plating time, it is believed that the accelerator accumulates at a low and substantially uniform concentration over the entire plating surface including the bottom and sidewalls of the feature. Since the accelerator diffuses into the feature faster than the inhibitor, the initial ratio of the accelerator: inhibitor in the feature (particularly at the bottom of the feature) is relatively high. This relatively high initial accelerator: inhibitor ratio in the features promotes rapid plating from the bottom of the feature to the top and from the sidewalls to the inside. On the other hand, the initial plating rate in the field region is relatively low due to the low accelerator: inhibitor ratio. Thus, in the initial plating stages, plating occurs relatively slowly within the field area and occurs relatively quickly within the feature.

도금이 진행됨에 따라서, 피처는 금속으로 충진되고 피처 내의 표면적은 줄어든다. 표면적이 감소되고 가속화제가 표면 상에 실질적으로 남아 있기 때문에, 피처 내의 가속화제의 국부적 표면 농도는 도금이 진행됨에 따라서 증가한다. 피처 내에서의 이러한 증가된 가속화제 농도는 보텀-업 충진에서 유용한 도금 레이트 차를 유지시키는 것을 지원한다.As plating progresses, the features are filled with metal and the surface area within the features is reduced. As the surface area is reduced and the accelerator remains substantially on the surface, the local surface concentration of the accelerator in the feature increases as the plating proceeds. This increased accelerator concentration in the features helps to maintain a useful plating rate difference in the bottom-up fill.

도금의 후속 스테이지들에서, 특히 과잉층 (overburden) 이 디포지션됨에 따라서, 가속화제가 특정 영역들 (예를 들어서, 충진된 피처들 위에) 에서 바람직하지 않게 축적되며, 이로써 요구된 것보다 고속으로 국부적 도금이 발생하게 된다. 평탄화제가 통상적으로 이러한 효과를 상쇄시키는데 사용된다. 평탄화제의 표면 농도는 대류 현상이 가장 활발한 표면의 노출된 영역에서 (즉, 리세스된 피처 내가 아님) 가장 높다. 평탄화제가 가속화제를 대체하고 국부적 분극을 증가시키고 표면의 영역들에서 국부적 도금 레이트를 저하시키며, 그렇지 않다면 이 영역들은 디포지션물 상의 다른 영역들에서보다 높은 레이트로 도금될 수 있다. 달리 말하면, 평탄화제는 적어도 부분적으로 표면의 노출된 영역들에서, 특히 돌출된 구조물들에서 가속화제 성분의 영향을 줄이거나 제거하는 경향을 갖는다. 통상적인 애플리케이션들에서, 평탄화제가 없다면, 피처는 오버충진 (overfill) 되어서 범프 (bump) 를 생성하는 경향을 갖는다. 따라서, 보텀-업 충진 도금의 후속 스테이지들에서, 평탄화제는 상대적으로 편평한 디포지션층을 생성하는데 유리하다.In subsequent stages of plating, particularly as an overburden is deposited, the accelerator accumulates undesirably in certain areas (e.g., on filled features), thereby resulting in localized Plating occurs. Planarizing agents are typically used to offset these effects. The surface concentration of the planarizing agent is highest in the exposed areas of the surface where convection is most active (i.e., not in the recessed features). The planarizing agent replaces the accelerator and increases the local polarization and reduces the local plating rate in areas of the surface, otherwise these areas can be plated at higher rates in other areas of the deposition. In other words, the leveling agent has a tendency to at least partially reduce or eliminate the influence of the accelerator component in the exposed areas of the surface, especially in the protruding structures. In typical applications, if there is no planarizing agent, the feature will overfill and have a tendency to produce a bump. Thus, in subsequent stages of bottom-up fill plating, the flattening agent is advantageous to create a relatively flat deposition layer.

억제제, 가속화제 및 평탄화제를 함께 사용하는 것은 상대적으로 평탄한 디포지션된 표면을 낳으면서 피처가 공극 발생 없이 하단에서 상향으로 측벽에서 내측으로 충진될 수 있게 한다. 첨가제 화합물들의 정확한 정체/조성은 첨가제 공급자들에 의해서 영업 비밀로서 유지되며 따라서 이러한 화합물들의 정확한 성질에 대한 정보는 공중이 입수할 수 없다.The use of inhibitors, accelerators, and leveling agents together results in a relatively flat deposited surface while allowing the feature to fill inward from the sidewall upward at the bottom without void formation. The exact conformation / composition of the additive compounds is kept as trade secret by additive suppliers and therefore information on the exact nature of these compounds is not available to the public.

유기 첨가제들이 없이 도금 Plating without organic additives

개시된 실시예들의 일 양태는 노출된 준귀금속 라이너 (semi-noble metal liner) 를 갖는 반도체 기판 상의 피처들 내로 구리를 전기도금하는 방법이다. 이 실시예에서, 구리는 구리 시드 층 상보다는, 준귀금속 라이너 상에 직접적으로 전기도금된다. 이 구현예에서 전해질은 용액 내의 구리와 착화하는 착화제 (complexing agent) 를 포함할 수도 있는 때에, 전해질은 억제제, 가속화제 및 평탄화제와 같은 유기 첨가제들을 실질적으로 포함하지 않는다. 어느 정도의 소량의 유기 첨가제들이 존재하는 경우에, 유기 첨가제들은 보텀-업 충진 메카니즘에 실질적으로 기여하지 않을 수 있다. 달리 말하면, 유기 첨가제들이 없는 경우에도, 이와 다른 동일한 도금 조건들 하에서 도금하는 때에, 보텀-업 충진이 발생할 것이다. 개시된 실시예들의 다른 양태는 노출된 구리 시드 층을 갖는 반도체 기판 상의 피처들 내로 구리를 전기도금하는 방법이다. 선행하는 실시예에서와 같이, 이 방법은 억제제, 가속화제 및 평탄화제와 같은 유기 첨가제들을 실질적으로 포함하지 않는 전해질을 사용하여서 수행될 수도 있다. 이러한 유기 첨가제들의 부재에도 불구하고, 개시된 방법은 피처들을 충진하는 보텀-업 충진 메카니즘을 달성한다.One aspect of the disclosed embodiments is a method of electroplating copper into features on a semiconductor substrate having an exposed semi-noble metal liner. In this embodiment, the copper is electroplated directly onto the semiprecious metal liner, rather than over the copper seed layer. In this embodiment, the electrolyte is substantially free of organic additives such as inhibitors, accelerators, and leveling agents, when the electrolyte may include a complexing agent that complexes with copper in solution. In the presence of a small amount of organic additives, the organic additives may not contribute substantially to the bottom-up filling mechanism. In other words, even when there are no organic additives, when plating under the same different plating conditions, bottom-up filling will occur. Another aspect of the disclosed embodiments is a method of electroplating copper into features on a semiconductor substrate having an exposed copper seed layer. As in previous embodiments, the method may be performed using an electrolyte that is substantially free of organic additives such as inhibitors, accelerators, and leveling agents. Despite the absence of these organic additives, the disclosed method achieves a bottom-up filling mechanism to fill the features.

방법들Methods

준귀금속 층 상에서의 도금Plating on the precious metal layer

일 실시예에서, 구리가 노출된 준귀금속 라이너 층 상에 전기도금된다. 준귀금속 라이너 층은 루테늄, 코발트, 텅스텐, 오스뮴, 백금, 팔라듐, 알루미늄, 금, 은, 이리듐, 로듐, 또는 이들의 조합일 수도 있다. 노출된 준귀금속 층을 갖는 기판이 전기도금 셀 내에 제공되며 이하에서 기술된 바와 같은 특정 특성들을 갖는 전해질 내에 침지된다. 전류가 핵생성을 촉진하도록 기판에 인가되며, 이후에 Volmer-Weber 성장이 따르며, 이로써 3차원 구리 아일랜드들 (islands) 를 형성한다. 구리 아일랜드들은 연속하는 구리 막으로 병합될 때까지 계속하여서 성장한다. 인가된 전류는 전해질의 조성에 의존하지만, 대체적으로 NHE에 대해서 약 0 내지 4 V 또는 NHE에 대해서 약 0.03 내지 0.33 V의 전압을 제공하도록 제어된다.In one embodiment, copper is electroplated onto the exposed precious metal liner layer. The semi-precious metal liner layer may be ruthenium, cobalt, tungsten, osmium, platinum, palladium, aluminum, gold, silver, iridium, rhodium or combinations thereof. A substrate having an exposed precious metal layer is provided in the electroplating cell and is immersed in an electrolyte having certain properties as described below. Current is applied to the substrate to promote nucleation followed by Volmer-Weber growth, thereby forming three-dimensional copper islands. Copper islands continue to grow until they are incorporated into a continuous copper film. The applied current depends on the composition of the electrolyte but is generally controlled to provide a voltage of about 0 to 4 V for NHE or about 0.03 to 0.33 V for NHE.

전해질은 높은 핵생성 밀도를 촉진하는 것을 지원하도록 설계될 수도 있다. 높은 핵생성 밀도를 촉진시키는 일 방식은 상대적으로 많이 분극된 전해질을 낳는 조건들을 사용하는 것이다. 증가된 전해질 분극은 EDTA (ethylenediaminetetraacetic acid), NTA (nitrilotriacetic acid), 시트릭 산 (citric acid), 및 클루탐 산 (glutamic acid) 과 같은 특정 착화제들을 사용함으로써 달성될 수 있다. 이러한 착화제들은 전해질 내에 용해된 구리 이온과 착물들을 형성한다. 착화제들은 예를 들어서 정전 상호반응에 의해서 구리 이온들과 결합되며 용해성 착물을 형성한다. 다양한 실례들에서, 착화제들은 착화된 (complxed) 구리 이온들을 부분적으로 둘러싸고 구리 이온을 부분적으로 차폐하게 성형된다. 착화제들은 적어도 억제제들, 가속화제들 및 평탄화제들과 같은 통상적인 도금 첨가제들의 정도로가 아니라, 기판의 표면 상으로 인지할만하게 흡착되지 않는다. 따라서, 본 명세서에서 사용되는 착화제들은 억제제 화합물들 (또는 가속화제들 또는 평탄화제들) 이 아니다. 상술한 바와 같은 착화제들의 분극 효과들이 실험 부분에서 이하에서 예시된다.The electrolyte may be designed to assist in promoting high nucleation density. One way to promote high nucleation density is to use conditions that yield relatively highly polarized electrolytes. Increased electrolyte polarization can be achieved by using certain complexing agents such as ethylenediaminetetraacetic acid (EDTA), nitrilotriacetic acid (NTA), citric acid, and glutamic acid. These complexing agents form complexes with copper ions dissolved in the electrolyte. Complexing agents bind to copper ions and form soluble complexes, for example, by electrostatic interactions. In various instances, the complexing agents are shaped to partially enclose the complicated copper ions and partially shield the copper ions. The complexing agents are not appreciably adsorbed onto the surface of the substrate, rather than to the extent of conventional plating additives, such as at least inhibitors, accelerators and planarizing agents. Thus, the complexing agents used herein are not inhibitor compounds (or accelerators or planarizing agents). The polarization effects of the complexing agents as described above are exemplified below in the experimental part.

착화제들은 높은 핵생성 밀도를 촉진한다. (착화제들은 기판 표면 상으로 흡착됨으로써 작용하기보다는, 용액 내의 구리와 착물을 형성함으로써 주로 작용하기 때문에) 착화제들은 억제제들이 아닐지라도, 착화제들은 구리 전기디포지션의 과잉전위 (overpotential) 를 증가시키도록 억제제와 유사한 기능을 한다. 몇몇 실시예들에서, 착화제들의 농도는 약 1 내지 100 mM, 예를 들어서, 약 1 내지 20 mM 또는 약 5 내지 10 mM이다. 착화제들의 농도는 몰 농도로 측정되는 때에, 구리 양이온들의 농도와 실질적으로 (약 30 퍼센트 내에서) 유사할 수도 있다. 몇몇 경우들에서, 이러한 농도들은 실질적으로 (예를 들어서, 약 10 퍼센트 내 또는 약 5 퍼센트 내에서) 등몰 (equimolar) 일 수도 있다. 특정 경우에서, 착화제 농도 및 구리 양이온 농도는 정확하게 등몰이다. 이러한 착화제 농도와 구리 양이온 농도의 등몰은 구리 및 착화제가 함께 1:1 비로 착물을 형성하기 때문에 유리할 수도 있다. 다른 경우들에서, 이러한 농도는 보다 현저하게 변할 수도 있다. 몇몇 실시예들에서, 착화제 농도는 구리 양이온 농도보다 높을 수도 있다. 착화제를 화학량론적으로 과잉하여 갖게 되는 것은, 이러한 바가 보다 많은 비율의 착화된 구리 양이온들을 낳을 수 있으며 이로써 준귀금속 표면 상에서의 높은 핵생성 밀도를 달성하는 것을 지원하기 때문에, 특정 실시예들에서 유리할 수도 있다.Complexing agents promote high nucleation density. (Since the complexing agents act primarily by forming a complex with copper in solution rather than by adsorption onto the substrate surface), the complexing agents may increase the overpotential of the copper electrodeposition Which is similar to an inhibitor. In some embodiments, the concentration of complexing agents is from about 1 to 100 mM, such as from about 1 to 20 mM, or from about 5 to 10 mM. The concentration of complexing agents may be substantially similar (within about 30 percent) to the concentration of copper cations when measured in molar concentrations. In some instances, these concentrations may be substantially equimolar (e.g., within about 10 percent or within about 5 percent). In certain instances, the complexing agent concentration and the copper cation concentration are exactly equimolar. This equilibrium of complexing agent concentration and copper cation concentration may be advantageous because copper and complexing agent together form a complex at a ratio of 1: 1. In other cases, this concentration may change more significantly. In some embodiments, the complexing agent concentration may be higher than the copper cation concentration. Having a stoichiometric excess of the complexing agent is advantageous in certain embodiments because it allows the bar to yield a greater proportion of complexed copper cations and thereby achieving a high nucleation density on the surface of the semi- It is possible.

몇몇 실시예들에서, 착화제들이 생략될 수도 있다. 착화제 없이 준귀금속 층 상에서 도금을 할 때에, 보텀-업 도금을 촉진하는 것을 지원하도록 변조된 파형이 사용될 수도 있다. 변조된 파형은 이하에서 더 기술된다. In some embodiments, complexing agents may be omitted. When plating on the precious metal layer without a complexing agent, a modulated waveform may be used to assist in promoting the bottom-up plating. The modulated waveform is further described below.

낮은 구리 농도는 전해질의 상대적으로 높은 분극에 기여한다. 몇몇 실시예들에서, 구리 양이온 농도는 약 1 내지 100 mM, 예를 들어서, 약 1 내지 20 mM 또는 약 5 내지 10 mM이다. 용액 분극에 대한 상이한 구리 농도들의 영향이 실험 부분에서 이하에서 더 기술된다.Low copper concentration contributes to the relatively high polarization of the electrolyte. In some embodiments, the copper cation concentration is about 1 to 100 mM, for example, about 1 to 20 mM, or about 5 to 10 mM. The influence of different copper concentrations on solution polarization is further described below in the experimental part.

전해질 분극에 영향을 주는 다른 요인은 pH이다. 대체적으로, 높은 pH를 갖는 전해질들이 보다 많이 분극된다. 특정 실시예들에서, 전해질의 pH는 약 1 내지 5이며 예를 들어서 약 1.5 내지 3.5이다. 상이한 전해질 pH 효과는 실험 부분에서 이하에서 더 기술된다.Another factor affecting electrolyte polarization is pH. In general, electrolytes having a high pH are more polarized. In certain embodiments, the pH of the electrolyte is from about 1 to about 5, such as from about 1.5 to about 3.5. The different electrolyte pH effects are further described below in the experimental part.

전해질의 분극은 또한 전해질 온도에 의해서도 영향을 받는다. 대체적으로, 낮은 온도는 높은 전해질 분극을 낳는다. 그러나, 낮은 온도는 또한 낮은 디포지션 레이트 및 보다 많은 컨포멀 (conformal) 막들을 낳는다. 보텀-업 충진 상황에서, 컨포멀 막들은 바람직하지 않은데, 그 이유는 컨포멀 막들은 피처들 내측에 심들 (seams)/공극들 (voids) 을 도입시키는 것으로 이어질 수 있기 때문이다. 이로써, 저온에서의 증가된 분극 이점들은 고온의 증가된 디포지션 레이트 및 더 적은 컨포멀 막들의 이점과 균형을 유지해야 한다. 몇몇 실시예들에서, 디포지션은 약 20 내지 80 ℃, 예를 들어서, 약 50 내지 70 ℃ 온도에서 발생한다. 통상적인 보텀-업 충진 프로세스들은 통상적으로 약 20 내지 25 ℃에서 발생한다. 개시된 실시예들의 일 이점은, 상승된 온도에서 충진이 발생한 경우에, 디포지션 레이트가 대체적으로 저온에서 발생하는 통상적인 프로세스들에서보다 높을 수 있다는 것이다. The polarization of the electrolyte is also influenced by the electrolyte temperature. In general, low temperatures result in high electrolyte polarization. However, lower temperatures also result in lower deposition rates and more conformal films. In a bottom-up filling situation, conformal membranes are undesirable because conformal membranes can lead to introducing seams / voids inside the features. As such, the increased polarization benefits at low temperatures must be balanced against the benefits of higher temperature and higher deposition rates and fewer conformal films. In some embodiments, the deposition occurs at a temperature of about 20 to 80 캜, such as about 50 to 70 캜. Typical bottom-up filling processes typically occur at about 20 to 25 占 폚. One advantage of the disclosed embodiments is that, if a fill occurs at an elevated temperature, the deposition rate can be higher than in typical processes that occur at substantially lower temperatures.

전기디포지션를 구동시키는데 인가되는 파형도 역시 충진 메카니즘에 영향을 미친다. 몇몇 실시예들에서, DC 전류는 (예를 들어서, 갈바노스테틱 (galvanostatic) 또는 갈바노다이나믹 제어와 함께) 사용된다. 다른 실시예들에서, 변조된 파형이 (예를 들어서, 전류가 디포지션 전류와 에칭 전류 간에서 교번하면서) 사용된다. 변조된 파형의 사용은 보다 덜 컨포멀한 막을 낳으며 이는 보텀-업 충진 상황에서 유리하다. The waveform applied to drive the electrical deposition also affects the filling mechanism. In some embodiments, the DC current is used (e.g., with galvanostatic or galvano dynamic control). In other embodiments, a modulated waveform is used (e.g., the current alternates between the deposition current and the etching current). The use of modulated waveforms results in a less conformal membrane, which is advantageous in a bottom-up filling situation.

본 기술 분야의 당업자에게 알려진 바와 같이, 디포지션을 위해서 사용되는 최고 전류 (전류 상한치) 는 기판-전해질 계면에서의 구리의 가용성에 의해서 영향을 받는다. 전류가 허용가능한 레벨 위로 올라가면, 전해질은 구리 고갈 (copper depletion) 을 경험하며, 이는 빈약한 디포지션 결과를 낳는다. 달리 말하면, 적절한 전류 레벨에서 환원 반응을 유지할 정도로 계면에서의 구리 양이 불충분하게 될 수도 있다. 대신에, 기판으로 전달되는 전류를 유지하도록 기생 반응이 발생할 수도 있다. 예를 들어서, 전해질 자체가 도금 계면에서 분해되어 가스를 생성하기 시작하며, 이로써 불균일한 도금을 낳으며 몇몇 경우들에서는 기판 상의 결절성 (nodular) 성장 형성을 낳을 수도 있다. 에칭 동안의 최고 전류는 통상적으로 오직 하드웨어 제약사항들에 의해서만 한정되지만, 전류가 이전에 디포지션된 금속을 모두 제거하지 않도록 너무 높지 않게 되도록 주의가 요망된다.As is known to those skilled in the art, the peak current (upper current limit) used for deposition is affected by the availability of copper at the substrate-electrolyte interface. When the current rises above an acceptable level, the electrolyte experiences copper depletion, which results in poor deposition results. In other words, the amount of copper at the interface may be insufficient to maintain the reduction reaction at the appropriate current level. Instead, a parasitic reaction may occur to maintain the current delivered to the substrate. For example, the electrolyte itself decomposes at the plating interface and begins to produce gas, which results in non-uniform plating, and in some cases may result in nodular growth formation on the substrate. Care should be taken to ensure that the peak current during etching is typically limited only by hardware constraints, but not so high that the current does not remove all of the previously deposited metal.

몇몇 실시예들에서, 재료를 디포지션하는데 사용되는 전류 레벨은 약 0.001 내지 1.5 A이며, 예를 들어서 약 0.05 내지 1.4 A 또는 약 0.05 내지 1 A이다 (300 mm 웨이퍼에 기초함). 이러한 실시예들 또는 다른 실시예들에서, 재료를 에칭하는데 사용되는 전류 레벨의 절대 값은 약 0.035 내지 0.25 A이며, 예를 들어서 약 0.04 내지 0.2 A 또는 약 0.1 A 미만이다 (300 mm 웨이퍼에 기초함). 다양한 경우들에서, 재료를 에칭하는데 사용되는 전류는 음의 값이다. 전기도금 동안의 전류 밀도는 약 0.1 내지 2 mA/cm2일 수도 있다. 에칭 동안의 전류 밀도는 약 0.05 내지 0.3 mA/cm2일 수도 있다. 변조된 파형 (예를 들어서, 구형 파형:squre waveform) 을 사용하는 구현예들에서, 파형의 주파수는 약 100 내지 1000 Hz일 수도 있다. 달리 말하면, 파형은 이 개시된 주파수로 디포지션 전류와 에칭 전류 간에서 교번할 수도 있다. 도금 결과들에 대한 상이한 파형들의 효과는 실험 부분에서 이하에서 더 논의된다.In some embodiments, the current level used to deposit the material is about 0.001 to 1.5 A, for example about 0.05 to 1.4 A or about 0.05 to 1 A (based on a 300 mm wafer). In these or other embodiments, the absolute value of the current level used to etch the material is about 0.035 to 0.25 A, for example about 0.04 to 0.2 A or less than about 0.1 A (based on 300 mm wafer box). In various cases, the current used to etch the material is negative. Current density for the electroplating may be from about 0.1 to 2 mA / cm 2. The current density during etching may be about 0.05 to 0.3 mA / cm < 2 & gt ;. In embodiments using a modulated waveform (e.g., a square waveform), the frequency of the waveform may be about 100 to 1000 Hz. In other words, the waveform may alternate between the deposition current and the etching current at this disclosed frequency. The effect of different waveforms on the plating results is further discussed below in the experimental part.

특정 작용 이론 또는 메카니즘에 의해서 매이지 않고서, 변조된 파형이 사용될 때에, 피처 상 및 내에서의 재료의 재분배를 낳을 수도 있다고 사료된다. 파형의 에칭 부분 동안에, 구리는 피처의 상단 부분 근처에서 선택적으로 에칭될 수도 있다. 피처 내에서 아래 방향으로 더 멀이 있는, 즉 피처 하단 근처에서의 구리는 에칭될 가능성이 적다. 이러한 선태적 에칭은 도금을 위해서 가용되는 (및 바람직한) 피처 내의 구리의 표면적을 선택적으로 줄일 수도 있다. 파형의 후속하는 디포지션 부분 동안에, 구리는 피처의 하단을 향해서 보다 많이 디포지션되는 경향을 가지며, 이 부분에서 남아있는 구리가 집중되며, 이는 이 영역에서의 디포지션을 위해서 필요한 에너지가 피처의 상단 근처의 영역들에서보다 낮을 수도 있기 때문이다. 디포지션 동작 및 에칭 동작 모두가 피처의 모든 부분들 상에서 작용하는 동안에, 디포지션은 피처 상단에서보다는 피처 하단 근처에서 보다 강하게 발생하며, 에칭은 피처 하단에서보다는 피처 상단 근처에서 보다 강하게 발생할 수도 있다. 디포지션 및 에칭 사이클의 반복을 통해서, 구리는 보텀-업 충진을 달성하도록 피처 내에서 재분배될 수도 있다. 보텀-업 충진 메카니즘에 기여할 수도 있는 다른 요인은 상대적으로 낮은 디포지션 레이트이다. 도금이 긴 기간에 걸쳐서 발생하기 때문에, 구리는 양호한 충진 결과를 제공하도록 피처 내에서 재분배되기 위해 많은 시간을 갖는다.It is believed that the use of a modulated waveform, without being bound by any particular theory or mechanism of action, may result in redistribution of material within and within the feature. During the etched portion of the corrugations, the copper may be selectively etched near the top portion of the feature. Copper that is further down in the feature, i.e., near the bottom of the feature, is less likely to be etched. This elective etching may selectively reduce the surface area of copper in the (and preferably) available features for plating. During the subsequent deposition portion of the waveform, the copper tends to be more deposited toward the bottom of the feature, where the remaining copper is concentrated, which causes the energy required for deposition in this region to reach the top of the feature And may be lower in the nearby regions. While both the deposition and etching operations act on all parts of the feature, the deposition occurs more strongly near the bottom of the feature than at the top, and the etching may occur more strongly near the top of the feature than at the bottom of the feature. Through repetition of deposition and etch cycles, copper may be redistributed within the features to achieve bottom-up filling. Another factor that may contribute to the bottom-up filling mechanism is the relatively low deposition rate. Since plating occurs over a long period of time, copper has a lot of time to redistribute in the features to provide good fill results.

DC 파형이 사용되는 경우에, 보텀-업 충진을 촉진하는 작용 메카니즘은 약간 상이할 수도 있다. 구리가 예를 들어서, NTA 및/또는 글루탐 산과 같은 상대적으로 약한 착화제들과 같은 착화제와 결합되고 낮은 디포지션 레이트로 도금되는 때에, 충진 메카니즘은 덜 컨포멀하게 되며 이로써 피처의 보텀-업 충진으로 이어질 수도 있다. 착화제 선정, 전해질 내의 구리 농도, 전해질 pH 및 전해질 온도 모두가 용액 분극에 영향을 준다. 기판이 NHE 기준 전극에 대하여서 약 0.03 내지 0.33 V의 전위로 유지되는 경우에, 보텀-업 충진이 신뢰할만하게 발생하는 것으로 보였다. 이 전압 범위는 보텀-업 충진을 촉진시키는데 성공적이라고 보였다. 전압이 이 범위보다 크게 아래에 있으면, 도금 전류는 너무 낮고 매우 적은 구리가 디포지션될 것이며; 전압이 이 범위보다 높으면, 보텀-업 충진보다는, 컨포멀한 충진 거동이 관측된다. 전압이 위의 범위 내에 해당되게 전류를 인가함으로써, 보텀-업 충진이 달성될 수 있다. 특정 실시예들에서, 이 전압은 이하에서 기술되는 실험들에서 사용되는 바와 같은, MSE (mercury sulfate reference electrode) 에 대한 약 -0.3 내지 -0.6 V (예를 들어서, 약 -0.4 내지 -0.5 V) 의 전위에 대응한다. 위에서 기술된 전해질 조건들과 함께, 이 범위 내에서 전압을 유지함으로써, 억제제, 가속화제 또는 평탄화제와 같은 유기 첨가제들을 사용하지 않고서, 보텀-업 충진이 달성된다. 몇몇 경우들에서, 전해질은 미량의 유기 첨가제들을 함유하지만, 이러한 첨가제들은 보텀-업 충진 메카니즘에 실질적으로 기여하지 않는다.In the case where a DC waveform is used, the action mechanism for promoting the bottom-up filling may be slightly different. When the copper is combined with a complexing agent such as relatively weak complexing agents such as NTA and / or glutamic acid, for example, and plated at a low deposition rate, the filling mechanism becomes less conformal thereby causing the bottom-up It may lead to filling. Selection of complexing agent, copper concentration in electrolyte, electrolyte pH and electrolyte temperature both affect solution polarization. When the substrate was maintained at a potential of about 0.03 to 0.33 V relative to the NHE reference electrode, bottom-up filling appeared to occur reliably. This voltage range appeared to be successful in promoting bottom-up filling. If the voltage is below this range, the plating current will be too low and very little copper will be deposited; If the voltage is higher than this range, the conformal filling behavior is observed rather than the bottom-up filling. By applying a current corresponding to the voltage within the above range, a bottom-up charge can be achieved. In certain embodiments, the voltage is about -0.3 to -0.6 V (e.g., about -0.4 to -0.5 V) for a mercury sulfate reference electrode (MSE), as used in the experiments described below. . By maintaining the voltage within this range, with the electrolyte conditions described above, bottom-up filling is achieved, without the use of organic additives such as inhibitors, accelerators or leveling agents. In some instances, the electrolyte contains trace amounts of organic additives, but these additives do not substantially contribute to the bottom-up filling mechanism.

도 1은 노출된 준귀금속 층을 갖는 기판 상의 피처 내로 구리를 전기도금하는 방법의 흐름도이다. 프로세스 (100) 는 블록 (101) 에서 시작되며, 이 블록에서, 노출된 준귀금속 층을 갖는 기판이 전기디포지션 챔버 내로 수용/제공된다. 기판은 통상적으로 그 상에 피처들을 가지며, 피처들은 전기디포지션 프로세스를 통해서 충진될 것이다. 몇몇 경우들에서, 피처들은 약 10 내지 100 nm, 예를 들어서 약 50 내지 100 nm의 폭을 갖는 트렌치들일 수도 있다. 이러한 또는 다른 실시예들에서, 피처는 약 100 nm 이하의 폭, 예를 들어서 약 20 nm 이하의 폭을 가질 수도 있다. 이어서, 블록 (103) 에서, 억제제, 가속화제 또는 평탄화제가 실질적으로 존재하지 않는 전해질과 기판이 접촉된다. 전해질은 착화제, 낮은 구리 양이온 농도, 및 특정 pH 및/또는 온도와 같은 상술한 특성들을 가질 수도 있다. 이러한 요소들은 상대적으로 강하게 분극된 전해질에 기여할 수도 있다. 블록 (105) 에서, 전류가 기판에 인가된다. 인가된 전류는 직류 또는 변조된 전류일 수 있으며, NHE 기준 전극에 대한 약 0.03 내지 0.33 V의 기판 전위를 유지하도록 설계된다. 이러한 기판 전위는 개시된 전해질과 함께, 유기 도금 첨가제들을 사용하지 않고서도 보텀-업 충진을 촉진한다.Figure 1 is a flow chart of a method of electroplating copper into a feature on a substrate having an exposed precious metal layer. Process 100 begins at block 101 where a substrate having an exposed precious metal layer is received / provided into an electrical deposition chamber. The substrate will typically have features on it, and the features will be filled through an electrical deposition process. In some instances, the features may be trenches having a width of about 10 to 100 nm, e.g., about 50 to 100 nm. In these or other embodiments, the features may have a width of about 100 nm or less, e.g., about 20 nm or less. Then, at block 103, the substrate is contacted with an electrolyte substantially free of inhibitors, accelerators or planarizing agents. The electrolyte may have the above-mentioned properties such as complexing agent, low copper cation concentration, and specific pH and / or temperature. These factors may contribute to the relatively strongly polarized electrolyte. At block 105, a current is applied to the substrate. The applied current may be a direct current or modulated current and is designed to maintain a substrate potential of about 0.03 to 0.33 V for the NHE reference electrode. This substrate potential, along with the disclosed electrolyte, facilitates bottom-up filling without the use of organic plating additives.

구리 시드 층 상에서의 도금Plating on the copper seed layer

준귀금속 층 상에 구리를 전기디포지션하는 것과 관련된 상술한 방법은 구리 시드 층 상에서의 도금으로 확장될 수도 있다. 이 실시예는 (구리 시드 층이 구리 재료 충진과는 별도로 디포지션되기 때문에) 단일-단계 충진 (one-step fill) 의 이점을 달성할 수는 없지만, 이 실시예는 유기 도금 첨가제들을 사용하지 않고서 보텀-업 충진을 통해서 구리를 전기도금하는 이점을 취한다.The above-described method relating to electrodeposition of copper on a semiprecious metal layer may be extended by plating on the copper seed layer. Although this embodiment can not achieve the advantage of a one-step fill (because the copper seed layer is deposited separately from the copper material fill), this embodiment can be used without the use of organic plating additives Takes advantage of electroplating copper through bottom-up filling.

대체적으로, 전해질 조성/pH/온도/파형과 관련된 위에서 개시한 교시사항들은 역시 구리 시드 층 상에서의 도금에도 적용된다. 그러나, 위의 고려사항들 중 어떤 것들은 구리 시드 층 상에 도금을 할 때에는 덜 중요하며, 다른 고려사항들이 보다 중요할 수도 있다. 예를 들어서, 도금이 구리 시드 층 상에서 발생할 때에, 착화제는 전해질로부터 생략될 수도 있다. 적합한 도금 결과들을 달성하는데 필요한 분극의 정도가 구리 상에 도금을 할때보다 준귀금속 층 상에 도금을 할 때에 더 높을 수도 있기 때문에, 착화제는 준귀금속 층 상에서의 도금 상황에서 보다 더 중요할 수도 있다.In general, the above teachings relating to electrolyte composition / pH / temperature / waveform also apply to plating on the copper seed layer. However, some of the above considerations are less important when plating on the copper seed layer, and other considerations may be more important. For example, when plating occurs on the copper seed layer, the complexing agent may be omitted from the electrolyte. The complexing agent may be more important than the plating situation on the semiprecious metal layer, since the degree of polarization required to achieve suitable plating results may be higher when plating on the semiprecious metal layer than when plating on the copper have.

또한, 구리 시드 층 상에서 도금을 할 때에, 변조된 파형의 사용은 어느 정도 보다 더 복잡하다. 준귀금속 층 상에서의 도금에서와 같이, 인가된 전류는 갈바노스테틱 또는 갈바노다이나믹일 수도 있다. 기판 상의 일부 영역에서는, (구리 시드 층을 포함하여) 모든 구리가 변조된 파형의 에칭 부분 동안에 용해될 수도 있기 때문에, 복잡성이 추가된다. 이러한 바가 발생하면, 이 영역에서 전기도금할 적합한 표면은 존재하지 않을 것이며 도금 결과들은 빈약할 것이다. 보텀-업 충진이 변조된 파형으로 개시된 방법을 사용하여서 달성될 수도 있지만, 시드 용해를 피하기 위해서 조치가 취해져야 한다. 따라서, 파형의 에칭 부분은 충분 구리 양이 도금 시퀀스의 초기 부분에서 도금될 때까지 지연될 수도 있다. 또한, 구리 시드 층 상에서의 도금은 직류 파형을 사용하여서 달성될 수도 있다.Also, when plating on the copper seed layer, the use of modulated waveforms is somewhat more complicated. As in plating on the semiprecious metal layer, the applied current may be galvanostatic or galvano-dynamic. In some areas on the substrate, complexity is added since all copper (including the copper seed layer) may be dissolved during the etched portions of the modulated waveform. If such a bar occurs, there will be no suitable surface for electroplating in this area and the plating results will be poor. Although bottom-up filling can be accomplished using the method described with the modulated waveform, measures must be taken to avoid seed dissolution. Thus, the etched portion of the corrugations may be delayed until a sufficient copper amount is plated in the initial portion of the plating sequence. In addition, plating on the copper seed layer may also be achieved using a DC waveform.

최적의 디포지션 온도는 도금이 준귀금속 층 상에서 직접적으로 발생하는 실시예에 비해서 구리 시드 층을 채용하는 실시예에서 보다 낮을 수도 있다. 몇몇 경우들에서, 구리 시드 층 상에서 도금을 할 때에, 온도는 약 20 내지 80 ℃, 예를 들어서, 약 20 내지 50 ℃에서 유지된다.The optimal deposition temperature may be lower than in the embodiment employing the copper seed layer as compared to the embodiment in which plating occurs directly on the precious metal layer. In some cases, when plating on the copper seed layer, the temperature is maintained at about 20 to 80 캜, such as about 20 to 50 캜.

임의의 작용 메카니즘에 매이지 않고서, 구리 시드 층 상에서의 보텀-업 충진에 대한 메카니즘은 루테늄과 같은 준귀금속 층 상에서의 도금과 관련하여서 상술한 보텀-업 충진 메카니즘과 유사할 수도 있다. 그러나, 다양한 경우들에서, 구리 시드 층 상에서 도금을 할 때에, 착화제 또는 구리 시드 층 상에서의 핵생성을 촉진시기 위해 변조된 파형을 사용할 필요가 없다.Without being bound to any mechanism of action, the mechanism for bottom-up filling on the copper seed layer may be similar to the bottom-up filling mechanism described above in connection with plating on a precious metal layer such as ruthenium. However, in various cases, when plating on the copper seed layer, it is not necessary to use a modulated waveform to facilitate nucleation on the complexing agent or copper seed layer.

도 2는 노출된 구리 시드 층을 갖는 기판 상의 피처 내로 구리를 전기도금하는 방법의 흐름도이다. 프로세스 (200) 는 블록 (201) 에서 시작되며, 이 블록에서, 노출된 구리 시드 층을 갖는 기판이 전기디포지션 챔버 내로 수용/제공된다. 기판은 통상적으로 그 상에 피처들을 가지며, 피처들은 전기디포지션 프로세스를 통해서 충진될 것이다. 몇몇 경우들에서, 피처들은 약 10 내지 100 nm, 예를 들어서 약 50 내지 100 nm의 폭을 갖는 트렌치들일 수도 있다. 이어서, 블록 (203) 에서, 억제제, 가속화제 또는 평탄화제가 실질적으로 존재하지 않는 전해질과 기판이 접촉된다. 전해질은 착화제, 낮은 구리 양이온 농도, 및 특정 pH 및/또는 온도와 같은 상술한 특성들을 가질 수도 있다. 구리 시드 층을 채용하는 특정 실시예들에서, 착화제는 사용되지 않는다. 블록 (205) 에서, 전류가 기판에 인가된다. 인가된 전류는 직류 또는 변조된 전류일 수 있으며, NHE 기준 전극에 대한 약 0.03 내지 0.33 V의 기판 전위를 유지하도록 설계된다. 이러한 기판 전위는 개시된 전해질과 함께, 유기 도금 첨가제들을 사용하지 않고서도 보텀-업 충진을 촉진한다.2 is a flow chart of a method of electroplating copper into a feature on a substrate having an exposed copper seed layer. Process 200 begins at block 201 where a substrate having an exposed copper seed layer is received / provided into an electrical deposition chamber. The substrate will typically have features on it, and the features will be filled through an electrical deposition process. In some instances, the features may be trenches having a width of about 10 to 100 nm, e.g., about 50 to 100 nm. Then, at block 203, the substrate is contacted with an electrolyte substantially free of inhibitors, accelerators or planarizing agents. The electrolyte may have the above-mentioned properties such as complexing agent, low copper cation concentration, and specific pH and / or temperature. In certain embodiments employing a copper seed layer, a complexing agent is not used. At block 205, a current is applied to the substrate. The applied current may be a direct current or modulated current and is designed to maintain a substrate potential of about 0.03 to 0.33 V for the NHE reference electrode. This substrate potential, along with the disclosed electrolyte, facilitates bottom-up filling without the use of organic plating additives.

장치Device

다수의 장치 구성들이 본 명세서에서 개시되는 실시예들을 따라서 사용될 수도 있다. 일 예시적인 장치는 웨이퍼의 면에 대해서 도금이 진행되게 하면서 도금 용액으로부터 웨이퍼의 후측면을 실링 (seal) 하는 클램쉘 픽스처 (clamshell fixture) 를 포함한다. 클램쉘 픽스처는 예를 들어서 웨이퍼의 베벨에 걸쳐서 배치된 시일 (seal) 을 통해서 웨이퍼를 지지하거나 또는 베벨 근처에서 사용된 시일과 함께 웨이퍼의 후면에 인가된 진공에 의해서 웨이퍼를 지지할 수도 있다.Multiple device configurations may be used in accordance with the embodiments disclosed herein. One exemplary apparatus includes a clamshell fixture that seals the backside of the wafer from the plating solution while the plating proceeds with respect to the plane of the wafer. The clam shell fixture may support the wafer by, for example, supporting a wafer through a seal disposed over the bevel of the wafer or by a vacuum applied to the backside of the wafer with a seal used near the bevel.

클램쉘 픽스처는 웨이퍼의 도금 표면의 양호한 웨팅 (wetting) 을 가능하게 하는 방식으로 욕 내로 들어가야 한다. 기판 웨팅 품질은 다음으로 한정되지 않지만 클램쉘 회전 속도, 수직 진입 속도, 및 도금 욕의 표면에 대한 클램쉘의 각도를 포함하는 다수의 변수들에 의해서 영향을 받는다. 이러한 변수들 및 그들의 효과는 본 명세서에서 참조로서 인용되는 미국 특허 번호 6,551,487에서 더 논의된다. 특정 구현예들에서, 전극 회전 레이트는 약 5 내지 125 RPM이며, 수직 진입 속도는 약 5 내지 300 mm/s이며, 도금 욕의 표면에 대한 클램쉘의 각도는 약 1 내지 10 도이다. 특정 애플리케이션을 위해서 이러한 변수들을 최적화할 시에 여러 목적들 중 하나는 웨이퍼 표면으로부터 공기를 최대한 제거함으로써 양호한 웨팅을 달성하는 것이다.The clam shell fixture must enter the bath in a manner that allows for good wetting of the plating surface of the wafer. Substrate wetting quality is not limited, but is influenced by a number of variables including the clam shell rotational speed, the vertical entrainment rate, and the angle of the clam shell relative to the surface of the plating bath. These variables and their effects are further discussed in U.S. Patent No. 6,551,487, which is incorporated herein by reference. In certain embodiments, the electrode rotation rate is about 5 to 125 RPM, the vertical entry speed is about 5 to 300 mm / s, and the angle of the clamshell to the surface of the plating bath is about 1 to 10 degrees. One of the purposes of optimizing these variables for a particular application is to achieve a good wetting by removing as much air from the wafer surface as possible.

본 명세서에서 개시된 전기디포지션 (electrodeposition) 방법들은 다양한 전기도금 툴 장치들을 참조하여 기술되며 이 전기도금 툴 장치들의 맥락에서 채용될 수도 있다. 본 명세서에서의 실시예들에 따라서 사용될 수도 있는 도금 장치의 일 실례는 Lam Research Sabre 툴이다. 기판 침지 및 본 명세서에서 개시된 다른 방법들을 포함하는 전기디포지션은 대형 전기디포지션 장치를 형성하는 컴포넌트들에서 수행될 수도 있다. 도 3은 예시적인 전기디포지션 장치의 개략적 평면도를 도시한다. 전기디포지션 (electrodeposition) 장치 (900) 는 3 개의 개별 전기도금 모듈 (902,904,906) 을 포함할 수 있다. 전기디포지션 장치 (900) 는 다양한 프로세스 동작들을 위해서 구성된 3 개의 별도의 모듈들 (912, 914 및 916) 을 더 포함할 수 있다. 예를 들어서, 몇몇 실시예들에서, 모듈들 (912, 914 및 916) 중 하나 이상은 SRD (spin rinse drying) 모듈일 수 있다. 다른 실시예들에서, 모듈들 (912, 914 및 916) 중 하나 이상은 PEM (post-electrofil module) 일 수 있으며, 각 모듈은 기판들이 전기도금 모듈 (902, 904 및 906) 중 하나에 의해서 프로세싱된 후에 기판들에 대해서 에지 베벨 제거, 후측면 에칭 및 산성 세정과 같은 기능을 수행하도록 구성될 수 있다.The electrodeposition methods disclosed herein are described with reference to various electroplating tool devices and may be employed in the context of these electroplating tool devices. One example of a plating apparatus that may be used in accordance with embodiments herein is the Lam Research Saber tool. Electrical deposition, including substrate immersion and other methods disclosed herein, may be performed in components that form a large electrical deposition apparatus. Figure 3 shows a schematic top view of an exemplary electrical deposition apparatus. The electrodeposition device 900 may include three individual electroplating modules 902, 904, 906. The electrical deposition apparatus 900 may further include three separate modules 912, 914 and 916 configured for various process operations. For example, in some embodiments, one or more of modules 912, 914, and 916 may be a spin rinse drying (SRD) module. In other embodiments, one or more of the modules 912, 914, and 916 may be a post-electrofilm module (PEM), and each module may be configured to process substrates by one of the electroplating modules 902, 904, and 906 The substrate may be configured to perform functions such as edge bevel removal, backside etching, and acid cleaning on the substrates.

전기디포지션 장치 (900) 는 중앙 전기디포지션 챔버 (924) 를 포함한다. 이 중앙 전기디포지션 챔버 (924) 는 전기도금 모듈들 (902, 904, 및 906) 내에서 전기도금 용액으로서 사용되는 약액을 유지하는 챔버이다. 전기디포지션 장치 (900) 는 전기도금 용액용 전해질 성분들을 저장 및 전달할 수도 있는 도징 시스템 (dosing system) (926) 을 더 포함한다. 화학 희석 모듈 (922) 이 에칭제로서 사용될 화화제들을 저장 및 혼합할 수도 있다. 여과 및 펌핑부 (928) 는 중앙 전기디포지션 챔버 (924) 용의 전기도금 용액을 여과하고 이를 전기도금 모듈들로 펌핑할 수도 있다.The electrical deposition apparatus 900 includes a central electrical deposition chamber 924. This central electrical deposition chamber 924 is a chamber that holds the chemical solution used as the electroplating solution in the electroplating modules 902, 904, and 906. The electrical deposition apparatus 900 further includes a dosing system 926 that may store and deliver electrolyte components for the electroplating solution. The chemical dilution module 922 may store and mix the compatibilizers to be used as an etchant. The filtration and pumping portion 928 may filter the electroplating solution for the central electrical deposition chamber 924 and pump it to the electroplating modules.

시스템 제어기 (930) 는 전기디포지션 장치 (900) 를 동작시키는데 요구되는 전자적 제어 및 인터페이스 제어를 제공한다. 시스템 제어기 (930) (하나 이상의 물리적 제어기 또는 논리적 제어기를 포함할 수 있음) 는 전기도금 장치 (900) 의 특성들 일부 또는 모두를 제어한다. 몇몇 실시예들에서, 시스템 제어기 (930) 는 통상적으로 하나 이상의 메모리 디바이스 및 하나 이상의 프로세서를 포함한다. 이 프로세서는 CPU, 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부, 스텝퍼 모터 제어기 보드 및 다른 유사한 컴포넌트들을 포함한다. 적합한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행될 수 있다. 이러한 인스트럭션들은 시스템 제어기 (930) 와 연관된 메모리 디바이스 상에 저장되거나 네트워크 상에서 제공될 수 있다. 특정 실시예들에서, 시스템 제어기 (930) 는 시스템 제어 소프트웨어를 실행한다.The system controller 930 provides the electronic and interface controls required to operate the electrical deposition apparatus 900. A system controller 930 (which may include one or more physical controllers or logical controllers) controls some or all of the characteristics of the electroplating apparatus 900. In some embodiments, the system controller 930 typically includes one or more memory devices and one or more processors. The processor includes a CPU, a computer, analog and / or digital input / output connections, a stepper motor controller board, and other similar components. Instructions for implementing appropriate control operations may be executed on the processor. These instructions may be stored on a memory device associated with the system controller 930 or provided on a network. In certain embodiments, system controller 930 executes system control software.

전기디지포션 장치 (900) 내의 시스템 제어 소프트웨어는 전기디지포션 장치 (900) 에 의해서 수행되는 특정 프로세스의 타이밍, (하나 이상의 전해질 성분들의 농도를 포함하여) 전해질 성분들의 믹싱, 유입구 압력, 도금 셀 압력, 도금 셀 온도, 기판 온도, 기판 및 임의의 다른 전극들에 인가되는 전류 및 전위, 기판 위치, 기판 회전 및 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수 있다. 시스템 제어 로직은 하부 구리 농도 전해질 및 이와 연관된 상대적으로 높은 과잉전위 (overpotential) 에 적합하게 맞춤되는 조건들 하에서의 전기도금을 위한 인스트럭션들을 더 포함할 수도 있다. 에를 들어서, 시스템 제어 로직은 보텀-업 충진 동안에 상대적으로 낮은 전류 밀도를 제공하도록 구성될 수도 있다. 제어 로직은 또한 도금 동안에 웨이퍼 표면으로 특정 레벨들의 매스 전달 (mass transfer) 을 제공하도록 구성될 수도 있다. 예를 들어서, 제어 로직은 기판이 고갈된 구리 조건을 만나지 않도록 도금 동안에 웨이퍼로의 충분한 매스 전달을 보장하게 전해질의 유동을 제어하도록 구성될 수도 있다. 특정 실시예들에서, 제어 로직은 도금 프로세스의 상이한 스테이지들에서 상이한 레벨의 매스 전달을 제공하도록 동작할 수도 있다 (예를 들어서, 과잉층 스테이지 (overburden stage) 동안보다 보텀-업 충진 스테이지 동안에 보다 높은 매스 전달 또는 과잉층 스테이지 동안보다 보텀-업 충진 스테이지 동안에 보다 낮은 매스 전달). 또한, 시스템 제어 로직은 본 명세서에서 개시된 범위들 중 임의의 범위 내에서, 전해질의 pH 또는 하나 이상의 전해질 성분들의 농도를 유지하도록 구성될 수도 있다. 특정 실례에서, 시스템 제어 로직은 약 1 내지 100 mM 간에서 구리 양이온들의 농도를 유지하도록 구성될 수도 있다. 다른 실례에서, 시스템 제어 로직은 NHE 전극에 대하여서 약 0.03 내지 0.33 V의 전위로 기판을 유지시키도록 전류를 인가하게 구성될 수도 있다. 시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 에를 들어서, 다양한 프로세스 툴 컴포넌트 서브-루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 실행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 기술될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능한 프로그래밍 언어로 코딩될 수도 있다. 제어 로직은 또한 프로그램가능한 로직 디바이스 (예를 들어서, FPGA), ASIC 또는 다른 적합한 수단으로 하드웨어로서 구현될 수도 있다.The system control software in the electronic digestion device 900 can be used to control the timing of certain processes performed by the electrical digestion device 900, mixing of electrolyte components (including the concentration of one or more electrolyte components), inlet pressure, , Plating cell temperature, substrate temperature, current and potential applied to the substrate and any other electrodes, substrate position, substrate rotation, and other parameters. The system control logic may further include instructions for electroplating under conditions where the lower copper concentration electrolyte and its associated relatively high overpotential are fitted. For example, the system control logic may be configured to provide a relatively low current density during bottom-up filling. The control logic may also be configured to provide certain levels of mass transfer to the wafer surface during plating. For example, the control logic may be configured to control the flow of electrolyte to ensure sufficient mass transfer to the wafer during plating so that the substrate does not encounter depleted copper conditions. In certain embodiments, the control logic may be operative to provide different levels of mass transfer in different stages of the plating process (e. G., Higher during the bottom-up charge stage than during the overburden stage) Lower mass delivery during bottom-up filling stages than during mass transfer or excess layer stages). In addition, the system control logic may be configured to maintain the pH of the electrolyte or the concentration of one or more electrolyte components within any of the ranges disclosed herein. In certain instances, the system control logic may be configured to maintain the concentration of copper cations between about 1 and 100 mM. In another example, the system control logic may be configured to apply current to maintain the substrate at a potential of about 0.03 to 0.33 V relative to the NHE electrode. The system control logic may be configured in any suitable manner. For example, various process tool component sub-routines or control objects may be described to control the operation of the process tool components required to execute the various process tool processes. The system control software may be coded in any suitable computer readable programming language. The control logic may also be implemented as hardware in a programmable logic device (e.g., an FPGA), an ASIC, or other suitable means.

몇몇 실시예들에서, 시스템 제어 로직은 상술한 바와 같은 다양한 파라미터를 제어하기 위한 인스트럭션들을 시퀀싱하는 IOC (input/output control) 를 포함한다. 예를 들어서, 전기도금 프로세스의 각 페이즈는 시스템 제어기 (930) 에 의해서 실행되도록 하나 이상의 인스트럭션들을 포함할 수 있다. 침지 프로세스 페이즈 (immersion process phase) 에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들이 대응하는 침지 레시피 페이즈 내에 포함될 수 있다. 몇몇 실시예들에서, 전기도금 레시피 페이즈들은 전기도금 프로세스 페이즈에 대한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 구성될 수도 있다. In some embodiments, the system control logic includes an input / output control (IOC) that sequences instructions for controlling various parameters as described above. For example, each phase of the electroplating process may include one or more instructions to be executed by the system controller 930. Instructions for setting process conditions for the immersion process phase may be included in the corresponding immersion recipe phase. In some embodiments, the electroplating recipe phases may be configured sequentially so that all instructions for the electroplating process phase are performed simultaneously with this process phase.

제어 로직은 몇몇 실시예들에서 프로그램들 또는 프로그램들의 섹션과 같은 다양한 컴포넌트들로 분할될 수도 있다. 이러한 목적을 위한 각 컴포넌트들의 실례는 기판 포지셔닝 컴포넌트, 전해질 조성 제어 컴포넌트, 압력 제어 컴포넌트, 가열기 제어 컴포넌트, 및 전위/전류 전원 제어 컴포넌트를 포함한다. The control logic may be divided into various components such as programs or sections of programs in some embodiments. Examples of each of these components for this purpose include a substrate positioning component, an electrolyte composition control component, a pressure control component, a heater control component, and a potential / current power control component.

몇몇 실시예들에서, 시스템 제어기 (930) 와 연계된 사용자 인터페이스가 존재할 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 상태의 그래픽 소프트웨어 디스플레이, 포인팅 장치, 키보드, 터치 스크린, 마이크로폰 등과 같은 사용자 입력 장치를 포함할 수 있다. In some embodiments, there may be a user interface associated with the system controller 930. The user interface may include a user input device such as a display screen, a graphical software display in device and / or process state, a pointing device, a keyboard, a touch screen, a microphone,

몇몇 실시예들에서, 시스템 제어기 (930) 에 의해서 조절되는 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비한정적 실례들은 다양한 스테이지들 등에서의, 욕 조건들 (온도, 조성, pH, 플로우 레이트 등), 기판 위치 (회전 레이트, 선형 (수직) 속도, 수평으로부터의 각도 등) 및 전기적 조건들 (전류, 전위 등) 을 포함한다. 이러한 파라미터들은 사용자 인터페이스를 사용하여서 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.In some embodiments, parameters that are controlled by the system controller 930 may be related to process conditions. Non-limiting examples include bath conditions (temperature, composition, pH, flow rate, etc.), substrate position (rotation rate, linear velocity, angle from horizontal, etc.) and electrical conditions (current, Potential, etc.). These parameters may be provided to the user in the form of a recipe, which may be entered using a user interface.

프로세스를 모니터링하기 위한 신호가 다양한 프로세스 툴 센서로부터 시스템 제어기 (930) 의 아날로그 및/또는 디지털 입력 접속부들에 의해서 제공될 수 있다. 프로세스를 제어하기 위한 신호는 프로세스 툴의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수 있다. 이러한 프로세스 툴 센서들의 비한정적 실례들은 매스 플로우 제어기, (마노미터와 같은) 압력 센서, 써모커플, 광학적 위치 센서, 등을 포함할 수 있다. 적절하게 프로그램된 피드백 알고리즘 및 제어 알고리즘이 이러한 센서들로부터의 데이터와 함께 사용되어서 프로세스 조건들을 유지할 수 있다. Signals for monitoring the process may be provided by the analog and / or digital input connections of the system controller 930 from various process tool sensors. A signal for controlling the process may be output on the analog output connection and the digital output connection of the process tool. Non-limiting examples of such process tool sensors may include a mass flow controller, a pressure sensor (such as a manometer), a thermocouple, an optical position sensor, and the like. A suitably programmed feedback and control algorithm can be used with the data from these sensors to maintain process conditions.

일 실시예에서, 인스트럭션들은 기판을 웨이퍼 홀더 내로 삽입하고, 기판을 틸팅 (tilting) 하며, 침지 동안에 기판을 바이어싱하고, 기판 상에 구리 함유 구조물을 전기디포지션하기 위한 인스트럭션들을 포함할 수 있다. In one embodiment, the instructions can include instructions for inserting a substrate into a wafer holder, tilting the substrate, biasing the substrate during immersion, and electrically depositing a copper-containing structure on the substrate.

핸드-오프 툴 (940) 이 카세트 (942) 또는 카세트 (944) 와 같은 기판 카세트로부터 기판을 선택한다. 카세트 (942) 또는 카세트 (944) 는 FOUP (front opening unified pod) 일 수 있다. FOUP는 제어 환경에서 기판을 안전하면서 안정되게 유지하고 적합한 로딩 포트 및 로봇 핸드링 시스템이 구비된 툴들에 의해서 프로세싱 또는 측정되기 위해서 기판이 분리 이동되게 하도록 설계된 인클로저 (enclosure) 이다. 핸드-오프 툴 (940) 은 진공 흡착 또는 몇몇 다른 흡착 메카니즘을 사용하여서 기판을 유지할 수 있다. The hand-off tool 940 selects a substrate from a substrate cassette, such as cassette 942 or cassette 944. The cassette 942 or the cassette 944 may be a front opening unified pod (FOUP). The FOUP is an enclosure designed to keep the substrate safe and stable in a controlled environment and allow the substrate to be moved apart for processing or measurement by tools equipped with suitable loading ports and robotic handling systems. The hand-off tool 940 can hold the substrate using vacuum adsorption or some other adsorption mechanism.

핸드 오프 툴 (940) 은 웨이퍼 핸들링 스테이션 (932), 카세트 (942 또는 944), 트랜스퍼 스테이션 (950) 또는 정렬기 (948) 와 인터페이싱할 수 있다. 트랜스퍼 스테이션 (950) 으로부터, 핸드 오프 툴 (946) 은 기판에 대한 액세스를 얻을 수 있다. 트랜스퍼 스테이션 (950) 은 핸드 오프 툴 (940, 946) 이 정렬기 (948) 를 통과하지 않고 기판을 그로 전달하거나 그로부터 전달받는 위치 또는 슬롯일 수 있다. 그러나, 몇몇 실시예들에서, 전기도금 모듈로의 정확한 전달을 위해서 기판이 핸드 오프 툴 (946) 상에서 적절하게 정렬되도록 하기 위해서, 핸드 오프 툴 (946) 은 기판을 정렬기 (948) 에 정렬시킬 수 있다. 핸드 오프 툴 (946) 은 또한 기판을 전기도금 모듈들 (902,904,906) 하나 또는 다양한 프로세스 동작들을 위해서 구성된 별도의 모듈들 (912, 914 및 916) 중 하나로 전달할 수도 있다. The handoff tool 940 may interface with the wafer handling station 932, the cassette 942 or 944, the transfer station 950, or the aligner 948. From the transfer station 950, the handoff tool 946 may obtain access to the substrate. The transfer station 950 may be a position or slot where the handoff tool 940, 946 does not pass through the aligner 948 and conveys or receives the substrate therefrom. However, in some embodiments, the handoff tool 946 aligns the substrate with the aligner 948 so that the substrate is properly aligned on the handoff tool 946 for accurate delivery to the electroplating module. . The handoff tool 946 may also transfer the substrate to one of the electroplating modules 902, 904, 906 or to separate modules 912, 914, and 916 configured for various process operations.

상술한 방법들에 따른 프로세스 동작의 실례는 다음과 같이 진행될 수도 있다: (1) 전기도금 모듈 (904) 내에서 구리 함유 구조물을 형성하도록 기판 상에 구리를 전기디포지션하며; (2) 모듈 (912) 내에서 SRD로 기판을 린스 및 건조하며; (3) 모듈 (914) 내에서 에지 베벨 제거를 수행한다.An example of a process operation according to the above-described methods may proceed as follows: (1) electrodeposition copper onto a substrate to form a copper-containing structure within the electroplating module 904; (2) rinse and dry the substrate with SRD in module 912; (3) Edge bevel removal is performed in module 914.

순차적 도금 동작, 린스 동작, 건조 동작 및 PEM 프로세스 동작에 걸쳐서 기판을 효율적으로 순환시키도록 구성된 장치가 제조 환경에서 사용되기 위해서 구현되도록 사용될 수 있다. 이를 달성하기 위해서, 모듈 (912) 이 스핀 린스 건조기 및 에지 베벨 제거 챔버로서 구성될 수 있다. 이러한 모듈 (912) 을 사용하면, 기판은 오직 구리 도금 동작과 EBR 동작을 위해서 전기도금 모듈 (904) 과 모듈 (912) 간에서 전송되기만 한면 된다. Devices configured to efficiently circulate substrates across sequential plating operations, rinsing operations, drying operations, and PEM process operations may be used to implement them for use in a manufacturing environment. To achieve this, the module 912 may be configured as a spin-rinse dryer and an edge bevel removal chamber. With such a module 912, the substrate only needs to be transferred between the electroplating module 904 and the module 912 for copper plating operations and EBR operation.

전기디포지션 장치 (1000) 의 다른 실시예가 도 4에서 개략적으로 예시된다. 이 실시예에서, 전기디포지션 장치 (1000) 는 다수의 전기도금 셀 (1007) 로 구성된 세트를 포함하며, 각 전기도금 셀은 전기도금 욕 (bath) 를 포함하며, 이 세트는 쌍 구성을 갖거나 다수의 듀엣 (duet) 구성을 갖는다. 전기도금 동작 그 자체 이외에, 전기디포지션 장치 (1000) 는 예를 들어서 스핀 린싱, 스핀 건조, 금속 및 실리콘 습식 에칭, 무전기 디포지션, 전기폴리싱 (electroplishing), 사전 웨팅 (pre-wetting), 사전 화학 처리, 환원, 어닐링, 포토레지스트 탈피 등과 같은 다양한 다른 전기도금과 연관된 프로세스 및 하위 단계들을 수행할 수도 있다. 전기디포지션 장치 (1000) 는 도 4에서 위에서 아래로 본 개략적 평면도로 도시되어 있으며 오직 하나의 레벨 또는 "플루어 (floor)" 가 이 도면에서 드러나 있지만 Lam Research SabreTM 3D 툴과 같은 장치는 각각이 잠재적으로는 서로 동일하거나 상이한 타입의 프로세싱 스테이션을 갖는, 서로 상하로 적층된 2 개 이상의 레벨들을 가질 수 있음을 본 기술 분야의 당업자는 용이하게 이해할 것이다.Another embodiment of the electrical deposition apparatus 1000 is schematically illustrated in FIG. In this embodiment, the electrical deposition apparatus 1000 comprises a set of a plurality of electroplating cells 1007, each electroplating cell comprising an electroplating bath, the set having a pair configuration Or have multiple duet configurations. In addition to the electroplating operation itself, the electrical deposition apparatus 1000 may be fabricated by any suitable process, including, for example, spin-rinsing, spin drying, metal and silicon wet etching, electroless deposition, electropolishing, pre- Processes and sub-steps associated with various other electroplating processes such as treatment, reduction, annealing, photoresist stripping, and the like. The electrical deposition apparatus 1000 is shown in a top-down schematic top view in FIG. 4 and only one level or "floor" is shown in this figure, but devices such as the Lam Research Saber TM 3D tool Those skilled in the art will readily appreciate that these can potentially have two or more levels stacked one above the other, with processing stations of the same or different type.

다시 한번 도 4를 참조하면, 전기도금 처리될 기판 (1006) 이 일반적으로 프론트 엔드 로딩 FOUP (1001) 를 통해서 전기디포지션 장치 (1000) 로 공급되고 본 실례에서는 FOUP로부터 프론트 엔드 로봇 (1002) 을 통해서 전기디포지션 장치 (1000) 의 주 기판 프로세싱 구역으로 이동되며, 이 로봇 (1002) 은 접근 가능한 스테이션들 중 하나의 스테이션에서 다른 스테이션으로 다차원으로 (in multiple dimensions) 스핀들 (1003) 에 의해서 구동되는 기판 (1006) 을 후퇴 및 이동시킬 수 있으며, 본 실례에서는 2 개의 프론트 엔드 액세스 가능한 스테이션들 (1004) 및 또한 2 개의 프론트 엔드 액세스 가능한 스테이션들 (1008) 이 도시되어 있다. 이 프론트 엔드 액세스 가능한 스테이션들 (1004, 1008) 은 예를 들어서 전 처리 스테이션들 및 SRD (spin rinse drying) 스테이션들을 포함할 수 있다. 프론트 엔드 로봇 (1002) 의 측 간 측 방향 이동은 로봇 트랙 (1002a) 을 사용하여서 달성될 수 있다. 기판 각각 (1006) 은 모터 (미도시) 에 연결된 스핀들 (1003) 에 의해서 구동되는 컵/콘 어셈블리 (미도시) 에 의해서 유지되며, 모터는 실장 브라켓 (1009) 에 부착될 수 있다. 또한, 본 실례에서는, 총 8 개의 전기도금 셀들 (1007) 에 대해서 4 개의 쌍형 또는 듀엣형 전기도금 셀 세트 (1007) 로 구성되게 도시되었다. 전기도금 셀들 (1007) 은 구리 함유 구조물용 구리 및 솔더 구조물용 솔더 재료를 전기도금하기 위해서 사용될 수 있다. 시스템 제어기 (미도시) 는 전기디포지션 장치 (1000) 에 커플링되어서 전기디포지션 장치 (1000) 의 특성들 모두 또는 일부를 제어할 수 있다. 이 시스템 제어기는 본 명세서에서 조기에 기술된 프로세스들에 따라서 인스트럭션들을 실행하도록 프로그래밍되거나 이와 달리 구성될 수 있다. Referring again to FIG. 4, the substrate 1006 to be electroplated is generally supplied to the electrical deposition apparatus 1000 through the front-end loading FOUP 1001 and from the FOUP to the front-end robot 1002 in this example To the main substrate processing zone of the electrical deposition apparatus 1000 which is driven by the spindle 1003 in multiple dimensions in one station to another station of the accessible stations The substrate 1006 can be retracted and moved, and in this example, two front-end accessible stations 1004 and also two front-end accessible stations 1008 are shown. The front-end accessible stations 1004 and 1008 may include, for example, preprocessing stations and spin-rinse drying (SRD) stations. The lateral movement of the front end robot 1002 can be achieved using the robot track 1002a. Each of the substrates 1006 is held by a cup / cone assembly (not shown) driven by a spindle 1003 connected to a motor (not shown), and the motor can be attached to the mounting bracket 1009. Also, in this example, it is shown to be composed of four pairs of dip or electroplating cell sets 1007 for a total of eight electroplating cells 1007. Electroplating cells 1007 can be used for electroplating copper and solder materials for solder structures for copper containing structures. A system controller (not shown) may be coupled to the electrical deposition apparatus 1000 to control all or some of the characteristics of the electrical deposition apparatus 1000. The system controller may be programmed or otherwise configured to execute instructions in accordance with the processes described herein earlier.

막 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여서, 그 상에 형성된 실리콘 질화물 막을 갖는 기판과 같은 작업 피스 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 다른 적합한 경화 툴을 사용하여서 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노광시키는 단계, (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 단계, (5) 건조 또는 플라즈마-지원형 에칭 툴을 사용하여서 아래에 놓인 막 또는 작업 피스에 레지스터 패턴을 전사하는 단계, 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 단계를 포함할 수 있다. 몇몇 실시예들에서, 애시가능한 (ashable) 하드 마스크 층 (예를 들어, 비정질 탄소 층) 및 다른 적합한 하드 마스크 (예를 들어, 반사방지 층) 가 포토레지스트를 도포하기 이전에 증착될 수도 있다.The film lithography patterning typically includes some or all of the following steps, each of which is realized using a number of possible tools, which steps include (1) using a spin on or spray on tool to form a silicon nitride (2) curing the photoresist using a hot plate or a furnace or other suitable curing tool, (3) curing the photoresist using a tool such as a wafer stepper, Exposing the resist to visible or ultraviolet or x-ray light, (4) developing the photoresist to selectively remove and pattern the resist using a tool such as a wet bench or spray developer, (5) Using a dry or plasma-assisted etch tool, Transferring the resist pattern to the top piece, and (6) removing the photoresist using a tool such as a RF or microwave plasma resist stripper. In some embodiments, an ashable hard mask layer (e.g., an amorphous carbon layer) and another suitable hard mask (e.g., an anti-reflective layer) may be deposited prior to applying the photoresist.

본 명세서에서 기술된 구성들 및/또는 방식들은 본질상 예시적이며 다수의 변경들이 가능하므로 이러한 특정 실시예들 또는 실례들은 한정적으로 해석되지 말아야 한다. 본 명세서에서 기술된 특정 루틴 또는 방법은 하나 이상의 임의의 수의 프로세싱 전략들을 나타낼 수 있다. 이로써, 예시된 다양한 동작들은 예시된 순서로, 다른 시퀀스들로, 병렬로 수행되거나 몇몇 경우들에는 생략될 수 있다. 마찬가지로, 상술한 프로세스들의 순서도 변할 수 있다.It is to be understood that the specific embodiments or examples described herein are illustrative in nature and that many variations are possible, so that these specific embodiments or examples should not be construed as limiting. The particular routine or method described herein may represent one or more any number of processing strategies. As such, the various operations illustrated may be performed in parallel, in other sequences, or in some instances, in the order shown. Likewise, the order of the processes described above may vary.

본 개시의 주제 대상은 본 명세서에서 개시된 다양한 프로세스들, 시스템들 및 구성들, 다른 특징부들, 기능들, 동작들, 및/또는 특성들 및 이들의 모든 및 임의의 균등 사항들의 모든 신규하고 비자명한 조합들 및 하위조합들을 포함한다. The subject matter of this disclosure is intended to cover any and all embodiments of the novel and non-claimed subject matter of the various processes, systems and configurations disclosed herein, other features, functions, operations, and / One combination and subcombinations.

실험Experiment

몇몇 실험적 연구들이 개시된 방법들이 유기 도금 첨가제들의 부재 시에도 보텀-업 충진을 달성하는데 사용될 수 있다는 것을 보였다. 이 섹션에서 제공되는 초기 결과들은 상이한 파라미터들 (예를 들어서, 착화제의 정체, 구리 양이온 농도, 용액 pH 및 용액 온도) 이 분극에 주는 영향을 보이는 CV (cyclic voltammetry) 스캔들에 관한 것이다. 이 섹션에서 제공되는 후자의 결과들은 상이한 도금 조건들에 따라서 충진된 피처들에 대한 충진 결과들을 나타낸다. 이 섹션에서 제공되는 모든 결과들은 유기 도금 첨가제를 사용하지 않고서 생성되었다. 쿠폰들이 도금을 위해서 사용되는 경우에, 쿠폰들은 약 1 cm2의 도금 면적을 갖는다.Several experimental studies have shown that the disclosed methods can be used to achieve bottom-up filling even in the absence of organic plating additives. The initial results provided in this section are for cyclic voltammetry (CV) scans that show the effect of different parameters (e.g., stagnation of complexing agent, copper cation concentration, solution pH and solution temperature) on polarization. The latter results provided in this section represent filling results for filled features according to different plating conditions. All the results provided in this section were generated without the use of organic plating additives. When coupons are used for plating, the coupons have a plating area of about 1 cm 2 .

도 5는 전해질의 분극에 대한 상이한 착화제들의 상대적 영향을 예시하는 CV 결과들을 도시한다. 테스트된 전해질은 5 mM 구리 양이온들 및 5 mM의 관련 착화제들을 포함하였다. CV들이 MSE (수은 설파이트 기준 전극) 과 함께, 200 RPM 의 회전 속도 및 10 mV/s의 스캔 레이트에 있는, 비이커 내의 백금 회전 디스크 전극 (RDE) 에 대해서 수집되었다. 용해된 산소는 약 1 ppm이 되게 제어되었으며, pH는 TMAH (tetramethylammonium hydroxide) 또는 황산에 의해서 약 3의 pH로 조절되었다. EDTA (ethylenediaminetetraacetic acid) 용액이 가장 강하게 분극되었으며, 설파이트 (SO4) 용액이 최소로 분극되었다. 설파이트 용액은 이 경우에 구리가 오직 물로 착물을 형성하였기 때문에 최소로 분극되었다. Figure 5 shows the CV results illustrating the relative effects of different complexing agents on the polarization of the electrolyte. The tested electrolyte contained 5 mM copper cations and 5 mM related complexing agents. CVs were collected for a platinum rotating disk electrode (RDE) in a beaker with a MSE (mercury sulfite reference electrode) at a rotation rate of 200 RPM and a scan rate of 10 mV / s. The dissolved oxygen was controlled to be about 1 ppm and the pH was adjusted to about 3 by TMAH (tetramethylammonium hydroxide) or sulfuric acid. EDTA (ethylenediaminetetraacetic acid) solution was most strongly polarized, and sulfite (SO 4 ) solution was minimally polarized. The sulfite solution was minimally polarized in this case because the copper only formed complexes with water.

도 6은 착화제로서 EDTA를 함유하는 용액의 분극에 대한 상이한 구리 이온 농도들 및 pH 레벨들의 상대적 영향을 예시하는 CV 결과들을 도시한다. 이러한 용액들 각각에 있어서, 구리 양이온 농도와 EDTA 농도는 등몰이었다. 결과들이 pH가 TMAH 또는 황산에 의해서 지정된 레벨로 조절되면서, 200 RPM 의 회전 속도 및 10 mV/s의 스캔 레이트에 있는, 비이커 내의 백금 회전 디스크 전극 (RDE) 에 대해서 수집되었다. 기준 전극은 MSE 전극이다. 저 구리 농도 및 고 pH 레벨이 보다 분극된 용액들을 낳는다.Figure 6 shows CV results illustrating the relative effects of different copper ion concentrations and pH levels on polarization of a solution containing EDTA as a complexing agent. In each of these solutions, the copper cation concentration and EDTA concentration were equimolar. The results were collected for a platinum rotating disk electrode (RDE) in a beaker at a rotation rate of 200 RPM and a scan rate of 10 mV / s, while the pH was adjusted to the level specified by TMAH or sulfuric acid. The reference electrode is an MSE electrode. Low copper concentrations and high pH levels result in more polarized solutions.

도 7은 10 mM 구리 양이온 및 10 mM EDTA를 함유하는 용액들의 분극에 대한 전해질 온도의 영향을 예시하는 CV 결과들을 도시한다. 데이터가 200 RPM 의 회전 속도 및 10 mV/s의 스캔 레이트에 있는, 상이한 온도에 있는 RDE 전극 상에 부착된 PVD 구리 시드 쿠폰에 대해서 수집되었다. 이 경우에 기준 전극은 MSE이었으며, 용해된 산소의 레벨은 약 1 ppm이었으며, pH는 TMAH 또는 황산에 의해서 약 2.3으로 조절되었다. 스캔결과들은 저온들이 보다 강하게 분극된 용액들을 낳음을 보인다.Figure 7 shows CV results illustrating the effect of electrolyte temperature on polarization of solutions containing 10 mM copper cations and 10 mM EDTA. Data were collected for PVD copper seed coupons attached on RDE electrodes at different temperatures, with a rotational speed of 200 RPM and a scan rate of 10 mV / s. In this case the reference electrode was MSE, the level of dissolved oxygen was about 1 ppm, and the pH was adjusted to about 2.3 by TMAH or sulfuric acid. Scanning results show that cold temperatures result in more strongly polarized solutions.

도 8a 내지 도 8c는 10 mM 구리 양이온 및 10 mM EDTA를 함유하는 전해질 내에서 도금한 후의, RDE 전극에 부착된 루테늄 시딩된 (seeded) 트렌치 쿠폰들 (coupons) 에 대한 결과적인 충진을 나타내는 SEM (scanning electron microscope) 이미지들을 도시한다. 각 전해질의 pH는 TMAH 또는 황산에 의해서 약 2.3 pH로 조절되었다. 각 전해질의 용해된 산소 레벨은 약 1 ppm이었다. 각 전해질의 온도는 약 70 ℃였다. 이 경우에, 트렌치들은 약 80 nm 폭을 가졌지만, 이 기법들은 또한 보다 좁은 폭의 트렌치들 (예를 들어서, 약 20 nm 폭의 트렌치들) 에도 적용될 수도 있다. RDE의 회전 속도는 약 200 RPM이며 기준 전극은 MSE 전극이었다. 도 8a 내지 도 8c에 도시된 쿠폰들 각각은 갈바노스테틱 조건들 하에서 도금되었다. 도 8a에 도시된 쿠폰은 0.4 mA에서 도금되었고, 도 8b에 도시된 쿠폰은 0.6 mA에서 도금되었고, 도 8c에 도시된 쿠폰은 1 mA에서 도금되었다. Figures 8a-8c show SEM (Raman spectra) showing the resultant filling for ruthenium seeded trench coupons attached to RDE electrodes after plating in an electrolyte containing 10 mM copper cations and 10 mM EDTA. scanning electron microscope images. The pH of each electrolyte was adjusted to about 2.3 pH by TMAH or sulfuric acid. The dissolved oxygen level of each electrolyte was about 1 ppm. The temperature of each electrolyte was about 70 캜. In this case, the trenches have a width of about 80 nm, but these techniques may also be applied to narrower width trenches (e.g., trenches of about 20 nm width). The rotation speed of the RDE was about 200 RPM and the reference electrode was the MSE electrode. Each of the coupons shown in Figures 8A-8C was plated under galvanostatic conditions. The coupon shown in Fig. 8A was plated at 0.4 mA, the coupon shown in Fig. 8B was plated at 0.6 mA, and the coupon shown in Fig. 8C was plated at 1 mA.

무공극 (void-free) 보텀-업 충진이 0.4 mA 및 0.6 mA에서 도금된 쿠폰들에 있어서 80 nm 트렌치들 내에서 달성되었다. 그러나, DC 전류가 1 mA로 증가하면, 도 8c에서 백색 화살표들로 표시된 바와 같이 심들 (seams) 이 관찰되었다. 충진 품질은 또한 어닐링 동작 후에도 체크되었으며, 0.4 mA 및 0.6 mA에서 도금된 쿠폰들 (즉, 도 8a 및 도 8b에서 도시된 쿠폰들) 에서 공극들은 관찰되지 않았다. 광범위한 조건들 하에서 수행된 실험들은 무공극 보텀-업 충진이 인가된 전압이 MSE 기준 전극에 대하여 약 -0.3 내지 -0.6 V (예를 들어, -0.4 내지 -0.5 V) 으로 유지되는 경우에 달성될 수 있음을 보인다. MSE 전극들은 규격에 맞추어져 있지 않고 전극의 특정 충진사항에 따라서 상이한 전위 판독치들을 생성할 수 있기 때문에, 결과들은 또한 규격 NHE 전극에 대한 전위의 차원에서 보고된다. NHE 전극에서와 비교하면, 무공극 보텀-업 충진이 약 0.03 내지 0.33 V의 범위로 유지되는 경우에 달성될 수도 있다. 이 범위 바깥의 전압들에서는, 심들이 관찰되었다.A void-free bottom-up filling was achieved within 80 nm trenches for coupons plated at 0.4 mA and 0.6 mA. However, when the DC current increased to 1 mA, seams were observed as indicated by the white arrows in Fig. 8C. Filling quality was also checked after the annealing operation and voids were not observed in coupons plated at 0.4 mA and 0.6 mA (i.e., the coupons shown in FIGS. 8A and 8B). Experiments performed under a wide range of conditions are achieved when the voltage applied to the non-pore bottom-up fill is maintained at about -0.3 to -0.6 V (e.g., -0.4 to -0.5 V) relative to the MSE reference electrode . Since the MSE electrodes are not standardized and can produce different potential readings depending on the specific filling of the electrode, the results are also reported in terms of potential for a standard NHE electrode. Compared with NHE electrodes, this may be achieved when the non-porous bottom-up charge is maintained in the range of about 0.03 to 0.33 V. At voltages outside this range, shims were observed.

도 9a 내지 도 9c는 RDE에 부착되어 상이한 온도들, 전류들 및 도금 회수들에서 변조된 파형들을 사용하여서 도금된, 루테늄 시딩된 트렌치 쿠폰들의 SEM 이미지들을 도시한다. 도 9a 내지 도 9c에서의 도금을 하는데 사용된 각 전해질은 10 mM 구리 양이온 및 10 mM EDTA를 가지며, pH는 TMAH 또는 황산에 의해서 약 2.3 pH로 조절되었으며, 용해된 산소 함량 약 1 ppm을 가졌다. 각 경우에서 회전 속도는 약 200 RPM이며 기준 전극은 MSE 전극이었다. 각 경우에서, 변조된 파형은 약 100 Hz 주파수에서 (약 50 내지 1000 Hz 주파수가 테스트되었으며 양호한 충진 결과들을 보였음) 디포지션 전류와 에칭 전류 간에서 교번하는 구형파이었다. 각 디포지션에서, 에칭 전류는 -0.05 mA로 설정되었고, 전압은 MSE 전극에 대해서 약 -0.4 내지 -0.5 V로 유지되었다.Figures 9a-9c show SEM images of ruthenium seeded trench coupons attached to the RDE and plated using waveforms modulated at different temperatures, currents, and plating times. Each of the electrolytes used for plating in FIGS. 9A-9C had 10 mM copper cations and 10 mM EDTA, the pH was adjusted to about 2.3 pH by TMAH or sulfuric acid, and had a dissolved oxygen content of about 1 ppm. In each case, the rotation speed was about 200 RPM and the reference electrode was the MSE electrode. In each case, the modulated waveform was a square wave alternating between the deposition current and the etching current at a frequency of about 100 Hz (about 50 to 1000 Hz frequency was tested and showed good fill results). At each deposition, the etching current was set at -0.05 mA and the voltage was maintained at about -0.4 to -0.5 V for the MSE electrode.

도 9a에 도시된 쿠폰은 0.45 mA의 디포지션 전류 레벨을 사용하여서, 상온에서 20 분 동안 전기도금되었다. 도 9b에 도시된 쿠폰은 0.5 mA의 디포지션 전류 레벨을 사용하여서, 50 ℃에서 20 분 동안 전기도금되었다. 도 9c에 도시된 쿠폰은 1.4 mA의 디포지션 전류 레벨을 사용하여서, 70 ℃에서 8 분 동안 전기도금되었다. 도 9a 내지 도 9c에 도시된 각 경우에서 무공극 보텀-업 충진이 달성되었지만, 충진은 보다 높은 온도에서 보다 신속하게 발생하였다. 실제로, 70 ℃에서의 충진 레이트는 상온에서의 충진 레이트보다 약 10배이었다. The coupon shown in FIG. 9A was electroplated at room temperature for 20 minutes, using a deposition current level of 0.45 mA. The coupon shown in FIG. 9B was electroplated at 50 DEG C for 20 minutes, using a deposition current level of 0.5 mA. The coupon shown in Figure 9c was electroplated at 70 占 폚 for 8 minutes, using a deposition current level of 1.4 mA. In each of the cases shown in Figs. 9A-9C, non-pore bottom-up filling was achieved, but filling occurred more rapidly at higher temperatures. Actually, the filling rate at 70 캜 was about 10 times higher than the filling rate at room temperature.

도 10a 및 도 10b는 RDE에 부착되어서 상이한 착화제들을 갖는 전해질들 내에서 도금된, 루테늄 시딩된 트렌치 쿠폰들의 SEM 이미지들을 도시한다. 도 10a에서 도시된 쿠폰은 5 mM 구리 양이온 및 5 mM NTA를 가지며, pH가 TMAH 또는 황산에 의해서 약 3.1 pH로 조절되고 용해된 산소 함량 약 1 ppm을 갖는 전해질에서 도금되었다. 도 10b에서 도시된 쿠폰은 10 mM 구리 양이온 및 10 mM 글루탐산을 가지며, pH가 약 3.1 pH로 조절되고 용해된 산소 함량 약 1 ppm을 갖는 전해질에서 도금되었다. 각 경우에서 회전 속도는 약 200 RPM이며 온도는 상온이며 기준 전극은 MSE 전극이고, 디포지션을 구동하는데 사용된 파형은 갈바노스텍틱이었다 (도 10a/NTA에 대해서는 0.1 mA이며, 도 10b/글루탐산에 대해서는 0.6 mA). 두 경우 모두에서 양호한 품질의 보텀-업 충진이 달성되었다. Figures 10A and 10B show SEM images of ruthenium seeded trench coupons attached to RDE and plated in electrolytes having different complexing agents. The coupons shown in Figure 10a were plated in an electrolyte having a 5 mM copper cation and 5 mM NTA, pH adjusted to about 3.1 pH by TMAH or sulfuric acid and having a dissolved oxygen content of about 1 ppm. The coupons shown in Figure 10b were plated in an electrolyte having a 10 mM copper cation and 10 mM glutamic acid, the pH being adjusted to about 3.1 pH and having a dissolved oxygen content of about 1 ppm. In each case, the rotational speed was about 200 RPM, the temperature was room temperature, the reference electrode was the MSE electrode, and the waveform used to drive the deposition was galvanostatic (0.1 mA for FIG. 10A / NTA and FIG. 10B / 0.6 mA). A good quality bottom-up filling was achieved in both cases.

다른 실험에서, 보텀-업 충진은 착화제를 가지지 않는 전해질 내에서 도금된 루테늄 시딩된 쿠폰에 대해서 달성되었다. 이 경우에, 전해질은 2.3 pH에서의 10 mM CuSO4를 포함하였다. 변조된 파형은 구리를 도금하는데 사용되었으며, 변조된 파형은 도 9a 내지 도 9c와 관련하여서 사용된 것들과 유사하다.In another experiment, bottom-up filling was achieved for a plated ruthenium seeded coupon in an electrolyte that does not have a complexing agent. In this case, the electrolyte comprised a 10 mM CuSO 4 at pH 2.3. Modulated waveforms were used to plate copper, and the modulated waveforms are similar to those used in connection with Figs. 9A-9C.

나머지 실험들은 구리 시드 층을 갖는 쿠폰들 상에서 발새한 도금에 관한 것이다. 도 11a 내지 도 11c은 각기 상이한 온도들에서 충진된 구리 시딩된 쿠폰들의 단면 SEM 이미지들이며 도 12a 내지 도 12c는 각기 (화학적 기계적 폴리싱 후의) 이러한 동일한 쿠폰들의 탑-다운 (top-down) SEM 이미지들을 도시한다. 구리 시딩된 쿠폰들은 RDE에 부착되고, 10 mM 구리 양이온 및 10 mM EDTA를 가지며 pH는 TMAH 또는 황산에 의해서 약 2.3 pH로 조절되었으며 용해된 산소 함량 약 1 ppm을 갖는 전해질에서 회전 속도 200 RPM 및 기준 전극으로서 MSE 전극을 사용하여서 도금되었다. 도 11a 내지 도 11c 및 도 12a 내지 도 12c에서 도금된 쿠폰들은 -0.5 V 개방 회로 전위에서 전해질 내로의 0.25 초 트리거된 (triggered) 포텐시오스테틱 (potentiostatic) 진입 및 이후의 0.2 mA 전류에서의 갈바노스테틱 디포지션을 갖는 프로세스를 통해서 도금되었다. 쿠폰들 내의 트렌치들은 약 50 nm 폭을 가졌다. 도 11a 및 도 12a에 도시된 쿠폰은 상온에서 도금되었으며, 도 11b 및 도 12b에 도시된 쿠폰은 50℃에서 도금되었으며, 도 11c 및 도 12c에 도시된 쿠폰은 70℃에서 도금되었다. 양호한 품질의 무공극 보텀-업 충진이 상온에서 달성되었다. 그러나, 70 ℃보다 높은 온도에서는, 시드 용해 및 성장 부족이 이 특정 테스트를 위해서 선택된 상대적으로 낮은 전류 밀도 (0.2 mA) 에서 발생한 것으로 보인다. 이로써, 고온에서의 보다 높은 도금 레이트들의 이점들은 이러한 고온에서의 시드 용해의 증가된 가능성과 균형을 이루어야 한다.The remainder of the experiments involve plating on coupons with a copper seed layer. Figs. 11a-c are cross-sectional SEM images of copper-seeded coupons filled at different temperatures, and Figs. 12a-12c show top-down SEM images of these same coupons (after chemical mechanical polishing) Respectively. Copper-seeded coupons were attached to RDE, had 10 mM copper cations and 10 mM EDTA and the pH was adjusted to about 2.3 pH by TMAH or sulfuric acid, and at an electrolyte having a dissolved oxygen content of about 1 ppm, And plated using an MSE electrode as the electrode. The plated coupons in Figures 11a-c and 12a-12c show a potentiostatic entry triggered 0.25 s into the electrolyte at -0.5 V open circuit potential and a potentiostatic entry at a later 0.2 mA current Plated through a process having a nysteretic deposition. The trenches in the coupons had a width of about 50 nm. The coupons shown in Figs. 11A and 12A were plated at room temperature, the coupons shown in Figs. 11B and 12B were plated at 50 DEG C, and the coupons shown in Figs. 11C and 12C were plated at 70 DEG C. Good quality non-air-borne bottom-up filling was achieved at room temperature. However, at temperatures above 70 ° C, seed dissolution and growth deficiencies appear to occur at a relatively low current density (0.2 mA) selected for this particular test. As such, the benefits of higher plating rates at high temperatures must be balanced with the increased likelihood of seed dissolution at such high temperatures.

도 13은 착화제가 없는 전해질에서 도금된 구리 시딩된 트렌치 쿠폰의 TEM 이미지 (transmission electron microscope image) 를 도시한다. 이 경우에 전해질은 10 mM 구리 양이온, 약 1 ppm 의 용해된 산소, 및 pH 2.3 을 포함하였다. 회전 속도는 200 RPM이며 기준 전극은 MSE 전극이었다. 개방 회로 전위에 대한 -0.5 V에서의 전해질 내로의 0.25 초 트리거된 포테시오스테틱 진입이 사용되고, 이후에 1.2 mA 전류에서의 갈바노스테틱 도금이 수행되었다. 도 13에 예시된 바와 같이, 양호한 품질의 보텀-업 충진이 달성되었다. 이로써, 몇몇 실시예들에서는, 착화제가 전해질로부터 생략될 수도 있다.Figure 13 shows a transmission electron microscope image of a copper-seeded trench coupon plated in an electrolyte without complexing agent. In this case, the electrolyte contained 10 mM copper cations, about 1 ppm dissolved oxygen, and pH 2.3. The rotation speed was 200 RPM and the reference electrode was the MSE electrode. A 0.25 second triggered potentiostatic entry into the electrolyte at -0.5 V to the open circuit potential was used followed by a galvanostatic plating at 1.2 mA current. As illustrated in Figure 13, a good quality bottom-up filling has been achieved. Thus, in some embodiments, the complexing agent may be omitted from the electrolyte.

Claims (32)

부분적으로 제조된 집적 회로 상의 피처들을 충진하도록 단일 단계 전기충진 (electrofill) 프로세스를 수행하는 방법으로서,
(a) 기판 상에 노출된 준귀금속 (semi-noble metal) 층 및 복수의 피처들을 갖는 상기 기판을 수용하는 단계;
(b) 상기 기판을 전해질과 접촉시키는 단계로서,
상기 전해질은,
(i) 약 1 내지 100 mM 구리 양이온들; 및
(ii) 상기 구리 양이온들과 착물 (complex) 를 형성하는 착화제 (complexing agent) 를 포함하며,
상기 전해질에는 억제제들, 가속화제들 및 평탄화제가 실질적으로 존재하지 않는, 상기 기판을 전해질과 접촉시키는 단계; 및
(c) 상기 전해질과 접촉시키면서, NHE (normal hydrogen eletrode) 기준 전극에 대하여 약 0.03 내지 0.33 V의 전기디포지션 (electrodeposition) 용 기판 전위에서의 보텀-업 (bottom-up) 충진 메카니즘에 의해서, 상기 피처들 내로 구리를 전기도금하는 단계를 포함하는,
단일 단계 전기충진 프로세스 수행 방법.
CLAIMS What is claimed is: 1. A method of performing a single step electrofill process to fill features on a partially fabricated integrated circuit,
(a) receiving the substrate having a semi-noble metal layer and a plurality of features exposed on the substrate;
(b) contacting the substrate with an electrolyte,
The electrolyte,
(i) about 1 to 100 mM copper cations; And
(ii) a complexing agent that forms a complex with the copper cations,
Contacting the substrate with an electrolyte wherein the electrolyte is substantially free of inhibitors, accelerators, and a planarizing agent; And
(c) a bottom-up filling mechanism at a substrate potential for electrodeposition of about 0.03 to 0.33 V relative to an NHE (normal hydrogen eletrode) reference electrode while being in contact with the electrolyte, And electroplating the copper into the features.
A method for performing a single stage electric charge process.
제 1 항에 있어서,
억제제, 가속화제 또는 평탄화제는 상기 보텀-업 충진 메카니즘에 실질적으로 기여하지 않는,
단일 단계 전기충진 프로세스 수행 방법.
The method according to claim 1,
The inhibitor, accelerator or leveling agent may be selected from the group consisting of:
A method for performing a single stage electric charge process.
제 1 항에 있어서,
상기 보텀-업 충진은 시드 층을 먼저 형성하지 않고서, 상기 준귀금속 층 상에서 직접적으로 수행되는,
단일 단계 전기충진 프로세스 수행 방법.
The method according to claim 1,
Wherein the bottom-up filling is performed directly on the semi-precious metal layer without first forming a seed layer,
A method for performing a single stage electric charge process.
제 1 항에 있어서,
상기 (c) 에서의 구리를 전기도금하는 단계는 상기 기판 상에 구리를 디포지션하는 제 1 레벨 및 상기 기판 상에 이전에 전기도금된 구리로부터 구리를 에칭하는 제 2 레벨로 전류를 교번하여서 펄싱하는 변조된 파형을 인가하는 단계를 포함하는,
단일 단계 전기충진 프로세스 수행 방법.
The method according to claim 1,
The electroplating of copper in (c) comprises alternating currents to a first level for depositing copper on the substrate and a second level for etching copper from the previously electroplated copper on the substrate, The method comprising the steps of:
A method for performing a single stage electric charge process.
제 4 항에 있어서,
구리를 에칭하는 전류의 상기 제 2 레벨은 약 0.05 내지 0.3 mA/cm2 의 절대 값을 가지며,
전류의 펄스들은 제 1 전류 레벨과 제 2 전류 레벨 간에서 약 100 내지 1000 Hz 주파수로 교번하는,
단일 단계 전기충진 프로세스 수행 방법.
5. The method of claim 4,
The second level of current for etching copper has an absolute value of about 0.05 to 0.3 mA / cm < 2 >
Pulses of current alternate between a first current level and a second current level at a frequency of about 100 to 1000 Hz,
A method for performing a single stage electric charge process.
제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
상기 착화제는 EDTA (ethylenediaminetetraacetic acid), NTA (nitrilotriacetic acid), 시트릭 산 (citric acid), 및 클루탐 산 (glutamic acid) 으로 구성된 그룹으로부터 선택되는,
단일 단계 전기충진 프로세스 수행 방법.
6. The method according to any one of claims 1 to 5,
Wherein the complexing agent is selected from the group consisting of ethylenediaminetetraacetic acid (EDTA), nitrilotriacetic acid (NTA), citric acid, and glutamic acid.
A method for performing a single stage electric charge process.
제 6 항에 있어서,
상기 착화제는 EDTA (ethylenediaminetetraacetic acid) 인,
단일 단계 전기충진 프로세스 수행 방법.
The method according to claim 6,
The complexing agent may be ethylenediaminetetraacetic acid (EDTA)
A method for performing a single stage electric charge process.
제 1 내지 제 5 항 중 어느 한 항에 있어서,
전기도금 동안에, 상기 전해질은 약 20 내지 80 ℃ 온도에서 유지되는,
단일 단계 전기충진 프로세스 수행 방법.
The method according to any one of claims 1 to 5,
During electroplating, the electrolyte is maintained at a temperature of about < RTI ID = 0.0 > 20-80 C,
A method for performing a single stage electric charge process.
제 8 항에 있어서,
전기도금 동안에, 상기 전해질은 약 50 내지 70 ℃ 온도에서 유지되는,
단일 단계 전기충진 프로세스 수행 방법.
9. The method of claim 8,
During electroplating, the electrolyte is maintained at a temperature of about 50-70 < 0 > C,
A method for performing a single stage electric charge process.
제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
전기도금 동안에, 상기 기판의 전기도금 표면은 약 0.1 내지 2 mA/cm2 전류 밀도를 경험하는,
단일 단계 전기충진 프로세스 수행 방법.
6. The method according to any one of claims 1 to 5,
During electroplating, the electroplating surface of the substrate that experienced the range of about 0.1 to 2 mA / cm 2 current density,
A method for performing a single stage electric charge process.
제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
상기 전해질의 pH는 약 1 내지 5인,
단일 단계 전기충진 프로세스 수행 방법.
6. The method according to any one of claims 1 to 5,
Wherein the electrolyte has a pH of about 1 to 5,
A method for performing a single stage electric charge process.
제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
상기 준귀금속 층은 루테늄, 텅스텐, 코발트, 오스뮴, 백금, 팔라듐, 알루미늄, 금, 은, 이리듐 및 로듐으로 구성된 그룹으로부터 선택된 재료를 포함하는,
단일 단계 전기충진 프로세스 수행 방법.
6. The method according to any one of claims 1 to 5,
Wherein the semi-precious metal layer comprises a material selected from the group consisting of ruthenium, tungsten, cobalt, osmium, platinum, palladium, aluminum, gold, silver, iridium,
A method for performing a single stage electric charge process.
제 12 항에 있어서,
상기 준귀금속 층은 루테늄을 포함하는,
단일 단계 전기충진 프로세스 수행 방법.
13. The method of claim 12,
Wherein the semi-precious metal layer comprises ruthenium,
A method for performing a single stage electric charge process.
제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
상기 피처들의 적어도 일부는 약 100 nm 이하의 폭을 갖는,
단일 단계 전기충진 프로세스 수행 방법.
6. The method according to any one of claims 1 to 5,
Wherein at least a portion of the features have a width less than about 100 nm,
A method for performing a single stage electric charge process.
제 14 항에 있어서,
상기 피처들의 적어도 일부는 약 20 nm 이하의 폭을 갖는,
단일 단계 전기충진 프로세스 수행 방법.
15. The method of claim 14,
Wherein at least a portion of the features have a width of about 20 nm or less,
A method for performing a single stage electric charge process.
제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
상기 전해질은 약 2 ppm 이하의 용해된 산소를 포함하는,
단일 단계 전기충진 프로세스 수행 방법.
6. The method according to any one of claims 1 to 5,
Wherein the electrolyte comprises less than about 2 ppm dissolved oxygen,
A method for performing a single stage electric charge process.
부분적으로 제조된 집적 회로 상의 피처들을 충진하도록 단일 단계 전기충진 (electrofill) 프로세스를 수행하는 방법으로서,
(a) 기판 상에 노출된 준귀금속 (semi-noble metal) 층 및 복수의 피처들을 갖는 상기 기판을 수용하는 단계;
(b) 상기 기판을 전해질과 접촉시키는 단계로서, 상기 전해질은 약 1 내지 100 mM 구리 양이온들을 포함하며, 상기 전해질에는 억제제들, 가속화제들 및 평탄화제가 실질적으로 존재하지 않는, 상기 기판을 전해질과 접촉시키는 단계; 및
(c) 상기 기판을 상기 전해질과 접촉시키면서, 상기 기판 상에 구리를 디포지션하는 제 1 레벨 및 상기 기판 상에 이전에 전기도금된 구리로부터 구리를 에칭하는 제 2 레벨로 전류를 교번하여서 펄싱하는 변조된 파형을 인가하여서, NHE (normal hydrogen eletrode) 기준 전극에 대하여 약 0.03 내지 0.33 V의 전기디포지션 (electrodeposition) 용 기판 전위에서의 보텀-업 (bottom-up) 충진 메카니즘에 의해서, 상기 피처들 내로 구리를 전기도금하는 단계를 포함하는,
단일 단계 전기충진 프로세스 수행 방법.
CLAIMS What is claimed is: 1. A method of performing a single step electrofill process to fill features on a partially fabricated integrated circuit,
(a) receiving the substrate having a semi-noble metal layer and a plurality of features exposed on the substrate;
(b) contacting the substrate with an electrolyte, wherein the electrolyte comprises about 1 to 100 mM copper cations, wherein the electrolyte is substantially free of inhibitors, accelerators, and a planarizing agent; Contacting; And
(c) alternately pulsing current to a first level for depositing copper on the substrate while contacting the substrate with the electrolyte and a second level for etching copper from the previously electroplated copper on the substrate By applying a modulated waveform, a bottom-up filling mechanism at substrate potential for electrodeposition of about 0.03 to 0.33 V for a normal hydrogen eletrode reference electrode, ≪ RTI ID = 0.0 > electroplating < / RTI >
A method for performing a single stage electric charge process.
제 17 항에 있어서,
구리를 에칭하는 전류의 상기 제 2 레벨은 약 0.05 내지 0.3 mA/cm2 의 절대 값을 가지며,
전류의 펄스들은 제 1 전류 레벨과 제 2 전류 레벨 간에서 약 100 내지 1000 Hz로 교번하는,
단일 단계 전기충진 프로세스 수행 방법.
18. The method of claim 17,
The second level of current for etching copper has an absolute value of about 0.05 to 0.3 mA / cm < 2 >
Pulses of current alternating between about 100 and 1000 Hz between a first current level and a second current level,
A method for performing a single stage electric charge process.
부분적으로 제조된 집적 회로 상의 피처 내에 구리를 디포지션하는 방법으로서,
(a) 기판 상에 복수의 피처들 및 구리 시드 층을 갖는 상기 기판을 수용하는 단계;
(b) 상기 기판을 전해질과 접촉시키는 단계로서, 상기 전해질은 약 1 내지 100 mM 구리 양이온들을 포함하며, 상기 전해질에는 억제제들, 가속화제들 및 평탄화제가 실질적으로 존재하지 않는, 상기 기판을 전해질과 접촉시키는 단계; 및
(c) NHE (normal hydrogen eletrode) 기준 전극에 대하여 약 0.03 내지 0.33 V의 전위에서의 보텀-업 충진 메카니즘에 의해서, 상기 피처 내로 구리를 전기도금하는 단계를 포함하는,
구리 디포지션 방법.
CLAIMS 1. A method of depositing copper in a feature on a partially fabricated integrated circuit,
(a) receiving the substrate having a plurality of features and a copper seed layer on a substrate;
(b) contacting the substrate with an electrolyte, wherein the electrolyte comprises about 1 to 100 mM copper cations, wherein the electrolyte is substantially free of inhibitors, accelerators, and a planarizing agent; Contacting; And
(c) electroplating copper into the feature by a bottom-up filling mechanism at a potential of about 0.03 to 0.33 V relative to an NHE (normal hydrogen eletrode) reference electrode.
Copper deposition method.
제 19 항에 있어서,
전기도금 동안에, 상기 전해질은 약 20 내지 80 ℃ 온도에서 유지되는,
구리 디포지션 방법.
20. The method of claim 19,
During electroplating, the electrolyte is maintained at a temperature of about < RTI ID = 0.0 > 20-80 C,
Copper deposition method.
제 20 항에 있어서,
전기도금 동안에, 상기 전해질은 약 20 내지 50 ℃ 온도에서 유지되는,
구리 디포지션 방법.
21. The method of claim 20,
During electroplating, the electrolyte is maintained at a temperature of about 20-50 < 0 > C,
Copper deposition method.
제 19 항에 있어서,
전기도금 동안에, 상기 기판의 전기도금 표면은 약 0.1 내지 2 mA/cm2 전류 밀도를 갖는,
구리 디포지션 방법.
20. The method of claim 19,
During electroplating, the electroplating surface of the substrate with a range of about 0.1 to 2 mA / cm 2 current density,
Copper deposition method.
제 19 항 내지 제 22 항 중 어느 한 항에 있어서,
상기 전해질의 pH는 약 1 내지 5인,
구리 디포지션 방법.
23. The method according to any one of claims 19 to 22,
Wherein the electrolyte has a pH of about 1 to 5,
Copper deposition method.
제 19 항 내지 제 22 항 중 어느 한 항에 있어서,
상기 피처들의 적어도 일부는 약 100 nm 이하의 폭을 갖는,
구리 디포지션 방법.
23. The method according to any one of claims 19 to 22,
Wherein at least a portion of the features have a width less than about 100 nm,
Copper deposition method.
제 24 항에 있어서,
상기 피처들의 적어도 일부는 약 20 nm 이하의 폭을 갖는,
구리 디포지션 방법.
25. The method of claim 24,
Wherein at least a portion of the features have a width of about 20 nm or less,
Copper deposition method.
제 19 항 내지 제 22 항 중 어느 한 항에 있어서,
상기 (c) 에서의 구리를 전기도금하는 단계는 갈바노스테틱하게 (galvanostatically) 제어된 전류를 상기 기판에 인가하는 단계를 포함하는,
구리 디포지션 방법.
23. The method according to any one of claims 19 to 22,
The step of electroplating copper in (c) comprises applying a galvanostatically controlled current to the substrate.
Copper deposition method.
기판 상의 피처들 내로 구리를 전기도금하기 위한 장치로서,
(a) 전해질을 수용하도록 구성된 하나 이상의 전기도금 욕들;
(b) 기판 지지부; 및
(c) 인스트럭션 세트를 갖는 제어기를 포함하며,
상기 인스트럭션 세트는,
상기 하나 이상의 전기도금 욕들 내로 전해질을 수용하고;
상기 전해질 내에 상기 기판을 침지하고;
NHE (normal hydrogen eletrode) 기준 전극에 대하여 약 0.03 내지 0.33 V의 기판 전위를 유지하여서, 억제제, 가속화제 또는 평탄화제의 존재에 실질적으로 의존하지 않는 보텀-업 충진 메카니즘에 의해서, 상기 피처들 내로 구리를 전기도금하기 위한, 인스트럭션들을 포함하는,
구리 전기도금 장치.
An apparatus for electroplating copper into features on a substrate,
(a) one or more electroplating baths configured to receive an electrolyte;
(b) a substrate support; And
(c) a controller having an instruction set,
The instruction set includes:
Receiving the electrolyte into the one or more electroplating baths;
Immersing the substrate in the electrolyte;
Up charge mechanism that is substantially independent of the presence of inhibitors, accelerators or planarizing agents by maintaining a substrate potential of about 0.03 to 0.33 V for an NHE (normal hydrogen eletrode) reference electrode, For electroplating the substrate,
Copper electroplating equipment.
제 27 항에 있어서,
상기 제어기는 상기 기판 상에 구리를 디포지션하는 제 1 전류 레벨 및 상기 기판으로부터 구리를 에칭하는 제 2 전류 레벨로 전류를 교번하여서 펄싱하는 변조된 파형을 인가하기 위한 인스트럭션들을 더 포함하는,
구리 전기도금 장치.
28. The method of claim 27,
Wherein the controller further comprises instructions for applying a modulated waveform that alternately pulses a current to a first current level that deposits copper on the substrate and a second current level that etches copper from the substrate.
Copper electroplating equipment.
제 27 항에 있어서,
구리를 에칭하는 상기 제 2 전류 레벨은 약 0.05 내지 0.3 mA/cm2 의 크기를 가지며,
전류의 펄스들은 상기 제 1 전류 레벨과 상기 제 2 전류 레벨 간에서 약 100 내지 1000 Hz 주파수로 교번하는,
구리 전기도금 장치.
28. The method of claim 27,
The second current level for etching the copper has a size of about 0.05 to 0.3 mA / cm < 2 >
Wherein pulses of current alternate at a frequency of about 100 to 1000 Hz between the first current level and the second current level,
Copper electroplating equipment.
제 27 항에 있어서,
상기 제어기는 전기도금 동안에 약 20 내지 80 ℃의 온도에서 상기 전해질을 유지하기 위한 인스트럭션들을 더 포함하는,
구리 전기도금 장치.
28. The method of claim 27,
Wherein the controller further comprises instructions for maintaining the electrolyte at a temperature of about 20 to < RTI ID = 0.0 > 80 C <
Copper electroplating equipment.
제 30 항에 있어서,
상기 제어기는 전기도금 동안에 약 50 내지 70 ℃의 온도에서 상기 전해질을 유지하기 위한 인스트럭션들을 포함하는,
구리 전기도금 장치.
31. The method of claim 30,
Wherein the controller comprises instructions for maintaining the electrolyte at a temperature of about 50 to < RTI ID = 0.0 > 70 C <
Copper electroplating equipment.
제 27 항 내지 제 31 항 중 어느 한 항에 있어서,
상기 제어기는, 상기 기판의 표면이 약 0.1 내지 2 mA/cm2 전류 밀도를 경험하도록 상기 전기도금을 제어하기 위한 인스트럭션들을 더 포함하는,
구리 전기도금 장치.
32. The method according to any one of claims 27 to 31,
The controller, further comprising instructions for controlling the electroplating the surface of the substrate so as to experience a range of about 0.1 to 2 mA / cm 2 current density,
Copper electroplating equipment.
KR1020140111787A 2013-08-26 2014-08-26 Bottom-up fill in damascene features KR102309859B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/010,404 2013-08-26
US14/010,404 US20150053565A1 (en) 2013-08-26 2013-08-26 Bottom-up fill in damascene features

Publications (2)

Publication Number Publication Date
KR20150024292A true KR20150024292A (en) 2015-03-06
KR102309859B1 KR102309859B1 (en) 2021-10-07

Family

ID=52479389

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140111787A KR102309859B1 (en) 2013-08-26 2014-08-26 Bottom-up fill in damascene features

Country Status (3)

Country Link
US (1) US20150053565A1 (en)
KR (1) KR102309859B1 (en)
TW (2) TWI697589B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160112980A (en) * 2015-03-19 2016-09-28 램 리써치 코포레이션 Chemistry additives and process for cobalt film electrodeposition
WO2021207254A1 (en) * 2020-04-07 2021-10-14 Lam Research Corporation Electrofill from alkaline electroplating solutions

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US20150322587A1 (en) * 2014-05-09 2015-11-12 Applied Materials, Inc. Super conformal plating
US10106512B2 (en) 2015-04-28 2018-10-23 Dow Global Technologies Llc Metal plating compositions
WO2017059562A1 (en) * 2015-10-08 2017-04-13 Rohm And Haas Electronic Materials Llc Copper electroplating baths containing compounds of reaction products of amines and polyacrylamides
US10329683B2 (en) 2016-11-03 2019-06-25 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants
US10000860B1 (en) * 2016-12-15 2018-06-19 Applied Materials, Inc. Methods of electrochemical deposition for void-free gap fill
US11842958B2 (en) * 2022-03-18 2023-12-12 Chun-Ming Lin Conductive structure including copper-phosphorous alloy and a method of manufacturing conductive structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002527621A (en) * 1998-10-14 2002-08-27 ファラデイ テクノロジー,インコーポレイティド Electrodeposition of metals in small recesses using modulated electric fields
US20040072423A1 (en) * 2001-01-12 2004-04-15 Jacob Jorne Methods and systems for electro-or electroless-plating of metal in high-aspect ratio features
JP2009509044A (en) * 2005-09-20 2009-03-05 アルスィメール Electroplating composition for coating substrate surface with metal

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6409903B1 (en) * 1999-12-21 2002-06-25 International Business Machines Corporation Multi-step potentiostatic/galvanostatic plating control
DE10016261C2 (en) * 2000-04-03 2002-08-29 Karlsruhe Forschzent Compact microwave device for defrosting or preventing icing
AU2003217197A1 (en) * 2002-01-10 2003-07-30 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition
US7624428B2 (en) * 2005-06-30 2009-11-24 Intel Corporation Apparatus and method for platform-independent identity manageability
JP5232401B2 (en) * 2007-04-05 2013-07-10 株式会社キャタラー Exhaust gas purification catalyst
US7776741B2 (en) * 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing
US8039388B1 (en) * 2010-03-24 2011-10-18 Taiwam Semiconductor Manufacturing Company, Ltd. Main spacer trim-back method for replacement gate process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002527621A (en) * 1998-10-14 2002-08-27 ファラデイ テクノロジー,インコーポレイティド Electrodeposition of metals in small recesses using modulated electric fields
US20040072423A1 (en) * 2001-01-12 2004-04-15 Jacob Jorne Methods and systems for electro-or electroless-plating of metal in high-aspect ratio features
JP2009509044A (en) * 2005-09-20 2009-03-05 アルスィメール Electroplating composition for coating substrate surface with metal

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160112980A (en) * 2015-03-19 2016-09-28 램 리써치 코포레이션 Chemistry additives and process for cobalt film electrodeposition
WO2021207254A1 (en) * 2020-04-07 2021-10-14 Lam Research Corporation Electrofill from alkaline electroplating solutions

Also Published As

Publication number Publication date
TW201529906A (en) 2015-08-01
TW202012709A (en) 2020-04-01
US20150053565A1 (en) 2015-02-26
TWI692555B (en) 2020-05-01
KR102309859B1 (en) 2021-10-07
TWI697589B (en) 2020-07-01

Similar Documents

Publication Publication Date Title
KR102309859B1 (en) Bottom-up fill in damascene features
KR102546220B1 (en) Chemistry additives and process for cobalt film electrodeposition
KR102335508B1 (en) Tsv bath evaluation using field versus feature contrast
KR102439386B1 (en) Process for optimizing cobalt electrofill using sacrificial oxidants
KR102147003B1 (en) Monitoring leveler concentrations in electroplating solutions
WO2020092244A1 (en) Electrodeposition of nanotwinned copper structures
KR20210054496A (en) Low copper electroplating solutions for fill and defect control
US20210156045A1 (en) Copper electrofill on non-copper liner layers
US20160102416A1 (en) Low copper/high halide electroplating solutions for fill and defect control
US8268155B1 (en) Copper electroplating solutions with halides
US20160355939A1 (en) Polarization stabilizer additive for electroplating
US20180266001A1 (en) Layer-by-layer deposition using hydrogen
WO2019079199A1 (en) Multibath plating of a single metal
KR20220030267A (en) Electrodeposition of cobalt tungsten films
JP2023522164A (en) Electrofilling from an alkaline electroplating solution

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant