TW201616649A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW201616649A
TW201616649A TW104126209A TW104126209A TW201616649A TW 201616649 A TW201616649 A TW 201616649A TW 104126209 A TW104126209 A TW 104126209A TW 104126209 A TW104126209 A TW 104126209A TW 201616649 A TW201616649 A TW 201616649A
Authority
TW
Taiwan
Prior art keywords
semiconductor
fin
region
source
strip
Prior art date
Application number
TW104126209A
Other languages
English (en)
Other versions
TWI604607B (zh
Inventor
江國誠
家馨 馮
志強 吳
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201616649A publication Critical patent/TW201616649A/zh
Application granted granted Critical
Publication of TWI604607B publication Critical patent/TWI604607B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

本揭露係關於一種半導體裝置與半導體裝置之形成方法。半導體裝置包括一半導體基底,以及複數隔離區域延伸進入半導體基底。一半導體鰭部位於隔離區域的相對部分之間,其中半導體鰭部係位於隔離區域的上表面上。一閘極堆疊與半導體鰭部重疊。一源極/汲極區域,位於閘極堆疊的一側邊上並連接至半導體鰭部。源極/汲極區域包括一內部,薄於半導體鰭部,以及一外部,位於內部之外側。半導體鰭部以及源極/汲極區域的內部具有相同的四族半導體成份。

Description

半導體裝置及其形成方法
本發明係有關於一種半導體裝置,特別有關於一種具有鰭式場效電晶體的半導體裝置及其製造方法。
在積體電路材料及設計中技術上的進展已造就出許多積體電路的世代,其中各個世代相較於前一個世代具有較小且較複雜的電路。隨著當幾何尺寸(geometry size,即採用一製程所能形成之最小元件或線路)的縮減,便增加了功能密度(functional density,即在每一晶片面積中內連接的裝置的數量)。此微縮製程提供了增加產品效能以及降低相關成本等益處。
微縮製程也增加了製程過程以及製造積體電路的複雜度,以及對於這些進展的持續理解,積體電路製程以及製造需要更加的發展。舉例來說,三維電晶體(如鰭式場效電晶體)已經被用來去取代平面電晶體。雖然現今的鰭式場效電晶體裝置及製造方法通常已經可適用其預期的需求,然而並非於所有方面皆令人滿意。因此,此領域仍需要改良。
本揭露包括一種半導體裝置,包括:一半導體基底,以及複數隔離區域延伸進入半導體基底。一半導體鰭部, 位於隔離區域的相對部分之間,其中半導體鰭部係位於隔離區域的上表面上。一閘極堆疊與半導體鰭部重疊。一源極/汲極區域位於閘極堆疊的一側並連接至半導體鰭部。源極/汲極區域包括薄於半導體鰭部的一內部,以及一外部位於內部之外側半導體鰭部以及源極/汲極區域的內部具有相同的四族半導體成份。
本揭露亦包括一種半導體裝置,包括:一矽基底,複數隔離區域延伸進入矽基底,以及一p型鰭式場效電晶體。p型鰭式場效電晶體包括一矽鍺鰭部,其包括一中間部分以及位於中間部分的相對側面上的複數末端部分。中間部分的一上表面高於末端部分的上表面。矽鍺鰭部具有一第一鍺百分比。p型鰭式場效電晶體更包括與矽鍺鰭部的中間部分重疊的一閘極堆疊。一源極/汲極區域包括矽鍺鰭部末端部分之一者作為一內部,以及一矽鍺區域位於內部的外側,其中矽鍺區域具有大於第一鍺百分比的一第二鍺百分比。
本揭露亦包括一種半導體裝置之形成方法,包括:凹蝕位於一半導體條帶的相對側面上的複數隔離區域以形成一半導體鰭部,其中半導體鰭部位於隔離區域的上表面上,形成一閘極堆疊於半導體鰭部的一中間部分的一上表面及複數側壁上,薄化半導體鰭部的一末端部分,以及實施一磊晶製程以成長一半導體區域於半導體鰭部的薄化末端部分上。半導體鰭部的薄化末端部分以及半導體區域結合而形成一鰭式場效電晶體的一源極/汲極區域。根據鰭式場效電晶體的類型,半導體區域包括磷化矽或硼化矽鍺。
10‧‧‧晶圓
20‧‧‧基底
22、24‧‧‧半導體層
26‧‧‧溝槽
30、138‧‧‧硬遮罩
62‧‧‧層間介電層
100、200‧‧‧區域
122、222、242‧‧‧矽鍺條帶
120、220、124、224‧‧‧矽條帶
124A、242A‧‧‧側壁
124-1、124-2、242-1、242-2‧‧‧部份
128、228‧‧‧半導體條帶
132‧‧‧矽鍺氧化物區域
134、234‧‧‧介電襯層
136、236‧‧‧淺溝槽隔離
144、244‧‧‧半導體鰭部
146、246‧‧‧虛置閘極堆疊
148、248‧‧‧虛置閘極
150、152、250、252‧‧‧蓋層
153、253‧‧‧閘極間隔物
154、254‧‧‧源極/閘極區域
156‧‧‧磊晶區域/n型磊晶區域
158、258‧‧‧虛線
160、260‧‧‧中心線
164、240、264‧‧‧凹部
165、265‧‧‧取代閘極
166、266‧‧‧閘極介電層
168、268‧‧‧閘極電極
170、270‧‧‧鰭式場效電晶體
172、272‧‧‧金屬矽化物區域
174、274‧‧‧源極/汲極接觸插塞
256、256A、256B‧‧‧p型磊晶區域/硼化矽鍺區域
4B、10BN、10BP‧‧‧線
W1、W2‧‧‧寬度
H1/2‧‧‧高度
第1-3、4A-4B、5-9、10A-10B、11、12A-12C圖分別為例示的鰭式場效電晶體在製造的中間階段的透視示意圖以及剖面示意圖。
本說明書的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。再者,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
另外,在空間上的相關用語,例如“之下”、“以下”、“下方”、“之上”、“上方”等等係用以容易表達出本說明書中的部件或特徵部件與其他部件或特徵部件的關係。這些空間上的相關用語除了涵蓋了圖式所繪示的方位外,還涵蓋裝置於使用或操作中的不同方位。裝置可具有不同方位(旋 轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
在描述繪示的實施例前,先討論本揭露的特徵及型態。通常,本揭露係有關於(但非用以限定)互補式金屬氧化物半導體(Complementry Metal-Oxide-Semiconductor,CMOS)裝置,其包括一p型金屬氧化物半導體鰭式場效電晶體(PMOS FinFET)裝置以及一n型金屬氧化物半導體鰭式場效電晶體(NMOS FinFET)裝置。本揭露根據不同的例示實施例亦提供其形成方法。鰭式場效電晶體,包括不同的實施例,於形成的中間階段被繪示及討論。於各個不同的觀點及繪示之實施例,參考標號用以標明各部件。
第1圖繪示包括基底20的晶圓10,並且半導體層22及24位於基底20上。根據一些實施例,基底20包括結晶矽,且不含鍺。在一些實施例中,晶圓10係一塊狀、單晶半導體晶圓。在其他實施例中,晶圓10包括一絕緣層上覆矽(silicon-on-insulator,SOI)晶圓,如本領域所熟知。半導體層22包括矽鍺(silicon germanium,SiGe)。根據本揭露的一些實施例,半導體層22中的鍺百分比在30%至80%的範圍。半導體層22的厚度可在20奈米至90奈米的範圍。根據一些實施例,半導體層24可為不含鍺的一矽層。在另一實施例中,半導體層24可包括磷化矽(silicon phosphorus,SiP)。
晶圓10包括繪示的區域100及200。區域100為一n型鰭式場效電晶體區域,一n型鰭式場效電晶體形成於其中。區域200為一p型鰭式場效電晶體區域,一p型鰭式場效電晶體 形成於其中。雖然於本揭露的圖式繪示的區域100及200是彼此分離的,區域100及200為同一晶圓10的部分,且可以在同一晶片中。舉例來說,在區域100及200中所示的基底20可以是相同連續性基底的部分,並且區域100及200也是相同連續性層膜的部分。
請參見第2圖,實施一圖案化製程於半導體層22及24以形成複數溝槽26,其延伸進入晶圓10。溝槽26定義基底20的一些未圖案化部份並且定義半導體層22及24為複數的半導體條帶128及228,其各自位於區域100及200中。半導體條帶128包括圖案化的基底20的條帶120、圖案化的半導體層22的條帶122,以及圖案化的半導體層24的條帶124。半導體條帶228包括圖案化的基底20的條帶220、圖案化的半導體層22的條帶222,以及圖案化的半導體層24的條帶224。根據一些實施例,半導體條帶128及228分別具有4奈米至10奈米範圍之寬度。在所有的敘述中,條帶120、220、124及224可以稱做矽條帶,且條帶122、222可以稱作矽鍺條帶。
第3圖繪示硬遮罩30的形成以及接下來的氧化製程。第3圖所示,硬遮罩30形成於半導體條帶128與228的上表面及側面,並覆蓋半導體基底20的露出的上表面(即,溝槽26各自的底部)。此外,硬遮罩30形成於n型鰭式場效電晶體區域100以及p型鰭式場效電晶體區域200兩者之上。接著,實施一圖案化製程自半導體條帶128的中間部分移除部分的硬遮罩30。半導體條帶128的相對的末端部分上的部份的硬遮罩30則完整地留下。再者,p型鰭式場效電晶體區域200中的部分的硬遮罩 30並未圖案化。如第3圖所示,區域200中的整個半導體條帶228被覆蓋,但區域100中的半導體條帶128的中間部分並未被覆蓋。
形成硬遮罩30為一保形層,其水平部分和垂直部分的厚度彼此相對接近。在一些實施例中,硬遮罩30包括氮化矽、碳化矽、氮氧化矽、氮化鈦、氮化鉭或相對半導體條帶128、228及相對氧化矽具有高蝕刻選擇比的其他材料。
接著,實施一氧化製程,使未被硬遮罩30覆蓋的矽鍺條帶122的中間部分(第2圖)氧化以形成矽鍺氧化物(SiGeOx)區域132。矽鍺條帶222,更詳細地,矽鍺條帶222的末端部分被硬遮罩30保護,因此沒有被氧化。氧化製程之後,移除硬遮罩30,而所得的結構如第4A圖所示,其繪示矽鍺氧化物區域132位於半導體條帶128的中間部分中。
第4B圖繪示半導體條帶128之一者的一剖面示意圖,其中剖面示意圖係由第4A圖中的包含一垂直面的線4B-4B得到。為了更清楚,繪示一單一的半導體條帶128。如第4B圖所示,矽鍺條帶122的一內部保持未被氧化的狀態。並未被硬遮罩30覆蓋的矽條帶120的中間部分120、124(第3圖)亦可被部分氧化。然而,矽鍺條帶122的中間部分的氧化速率比矽條帶120及124的氧化速率高出很多(有時高於30倍)。因此所得的位於矽條帶120及124上的氧化物(未繪示)非常薄(其可具有小於5埃的厚度),因此在此並未繪示。可藉由爐管氧化製程實施(例如:暴露晶圓10於一氧氣環境,在介於約400℃至600℃的氧化溫度)。氧化製程的時間介於20分鐘至40分鐘。氧化製程的時 間取決於溫度。較低的溫度需要較長的時間,反之亦然。另外,可藉由於一低溫(例如,介於20℃至80℃)的化學氧化方法實施氧化製程,例如使用一過氧化氫(H2O2)溶液作為氧化劑。所得的矽鍺氧化物區域132可包括形成在留下的矽鍺條帶122的相對側邊上的二個部分。根據一些實施例,矽鍺氧化物區域132具有介於約3奈米至10奈米的厚度。
當不被任何基礎的理論約束,據信在氧化製程的過程中,矽鍺條帶122中的鍺原子趨向從矽鍺氧化物區域132往內且朝向各別的矽鍺條帶122的中心(內部)遷移,造成鍺濃縮於矽鍺條帶122的中心。因此,矽鍺條帶122的餘下的部分(即,未被氧化的部分)具有一鍺濃度高於相應的矽鍺條帶222(第4A圖)的鍺濃度。
由於氧化製程,矽鍺氧化物區域132的體積延伸至大於形成矽鍺氧化物區域132之外的矽鍺條帶122的體積。因此,膨脹的材料導致產生一側向的拉應變以將源極/汲極區域154推離彼此。亦產生一垂直的應變以將矽條帶124向上推,其中矽條帶124可用以形成所得的n型鰭式場效電晶體的通道。因此矽鍺條帶122的氧化在所得的n型鰭式場效電晶體中產生需要的應變具有有利的結果。相對的,遮蓋區域200中的矽鍺條帶222以防止在所得的p型鰭式場效電晶體中產生不必要的應變。
請參照第5圖,分別形成介電襯層134及234於半導體條帶128及228的上表面及側壁上。此外,介電襯層134及234向上延伸且與矽鍺氧化物區域132的側壁接觸(第4A圖)。根據 本揭露一些實施例,介電襯層134及234係由氮化矽、氧化鋁(Al2O3)、氮氧化矽、碳化矽、其組合或其複數層膜形成。介電襯層134及234可使用相同的製程及材料同時形成於區域100及200。在一些實施例中,形成介電襯層134及234為保形層,其水平部分與垂直部分具有相同或大致接近彼此的厚度(例如,其差異小於20%)。介電襯層134及234的厚度可在介於約2奈米至6奈米的厚度。
接著,形成隔離區域於區域100及200中的溝槽26中。所得的隔離區域136及236如第6圖所示,並且於所有的敘述中也可稱作淺溝槽隔離(Shallow Trench Isolation,STI)區域136及236。在淺溝槽隔離區域136及236的形成中,首先一介電材料填入溝槽26(第5圖)。可使用例如旋轉塗佈(spin-on coating)製程、流體化學氣相沉積(flowable chemical vapor deposition,FCVD)及其相似的製程選擇的一方法形成介電材料。介電材料可包括如本領域所熟知的高流動性的材料。根據另一實施例,介電材料可藉由一沉積方法所形成,例如高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition,HDPCVD)及高深寬比製程(HARP)。
接著可在晶圓10上實施一退火步驟,在一些實施例中介電材料會因此固化。退火步驟可包括例如使用臨場蒸氣產生(In-Situ Steam Generarion,ISSG)的蒸氣退火,其使用氫氣及氧氣的混和氣體以產生蒸氣。
形成介電材料之後,實施一化學機械研磨(Chemical Mechanical Polish,CMP)以移除介電襯層134及234 的上表面上的介電材料的超出部分,因此形成淺溝槽隔離區域136及236。根據本揭露的一些實施例,介電襯層134及234的上表面用作一化學機械研磨停止層。介電材料的餘下部分形成淺溝槽隔離區域136及236。淺溝槽隔離區域136及236可包括氧化矽,雖然也可使用其他介電材料。淺溝槽隔離區域136及236的上表面可彼此齊平,且與介電襯層134及234的上表面齊平。
請參照第6圖,形成並圖案化硬遮罩138。在繪示的實施例中,於n型鰭式場效電晶體中的結構被硬遮罩138覆蓋,而於p型鰭式場效電晶體中的結構則暴露出。在第6圖及接下來的圖式中,為了繪示其他隱藏的特徵部件,於圖式中省略之前的特徵部件的部分,例如淺溝槽隔離區域136及236。應注意的是這些特徵部件省略的部分仍然存在。根據本揭露的一些實施例,硬遮罩138可由氮化矽、氧化矽或其他適合的材料形成。此外,在此實施例中,硬遮罩138可由一材料形成,其不同於介電襯層134及234的材料,因此可蝕刻介電襯層134及234而不蝕刻硬遮罩138,反之亦然。
第7圖繪示凹蝕矽條帶224,因此於區域200形成凹部240。可藉由使用一濕蝕刻,例如使用氫氧化鉀(KOH)或氫氧化四甲銨(Tetramethylammonium hydroxide,TMAH)實施此蝕刻製程。根據本揭露一些實施例,於矽鍺條帶222露出前停止蝕刻製程。因此,於蝕刻製程後,矽條帶224的底部部分留下以覆蓋矽鍺條帶222。應注意的是雖然繪示的餘下的矽條帶224具有平坦的上表面,在另一實施例中也可形成V型的上表面。根據其他實施例,在蝕刻製程後,移除矽條帶224,且露出矽 鍺條帶222。硬遮罩138確保半導體條帶128在此製程的過程不被蝕刻。
接著,如第8圖所示,磊晶成長矽鍺條帶242於凹部240中(第7圖)。因此,根據此實施例,矽鍺條帶242形成於矽條帶224及矽鍺條帶222上且與之接觸。根據本揭露的一些實施例,矽鍺條帶242具有一第一鍺(原子)百分比,其介於約30%至50%的範圍。磊晶成長矽鍺而使之與淺溝槽隔離區域236的上表面齊平,或可成長至高於淺溝槽隔離區域236的上表面,以及接著使用一化學機械研磨製程以將矽鍺的上表面平坦化至淺溝槽隔離區域236的上表面。磊晶成長的矽鍺材料的餘下的部分形成矽鍺條帶242。
接著,在凹蝕淺溝槽隔離區域136及236後,移除硬遮罩138。所得的結構如第9圖所示。在區域100中,矽條帶124具有一上部,其高於餘下的淺溝槽隔離區域136的上表面,其中矽條帶124的上部之後可稱作半導體鰭部(矽鰭部)144。根據本揭露的一些實施例,餘下的淺溝槽隔離區域136的上表面可齊平或高於矽鍺氧化物區域132的頂部末端(第4A及4B圖),其在第9圖中被介電襯層134覆蓋。
在凹蝕淺溝槽隔離區域136的同時,也凹蝕淺溝槽隔離區域236。矽鍺條帶242具有高於淺溝槽隔離區域236的上表面的頂部部分,其中矽鍺條帶242的頂部部分其後可稱作半導體鰭部(矽鍺鰭部)244。根據一些實施例,半導體鰭部144及244具有介於20奈米至40奈米的高度。如果有矽條帶224的話,餘下的淺溝槽隔離區域236的上表面齊平或高於餘下的矽條帶 224的頂部末端,如果矽條帶224在前個步驟已被完全移除,餘下的淺溝槽隔離區域236的上表面齊平或高於矽鍺條帶222的頂部末端。
如第9圖所示,露出介電襯層134及234的一些部分。接著移除介電襯層134及234的這些部分。接著,如第10圖所示,形成虛置閘極堆疊146及246以各自覆蓋半導體鰭部144及244的中間部分(第9圖)。半導體鰭部144及244的末端部分並未被覆蓋。根據一些實施例,虛置閘極堆疊146包括虛置閘極148以及蓋層150及/或152,且虛置閘極堆疊246包括虛置閘極248以及蓋層250及/或252。根據一些實施例,虛置閘極148及248可由多晶矽形成,雖然也可使用其他材料。在一些例示的實施例中,蓋層150及250由氮化矽形成,且蓋層152及252由氧化矽形成。雖未繪示於第9圖,可形成一虛置介電質(如一氧化矽層)於虛置閘極148及248下。虛置閘極148及248各自形成於半導體鰭部144及244的上表面及側壁上(第9圖)。此外,虛置閘極堆疊146及246可各自包括閘極間隔物153及253,其各自形成於虛置閘極148及248的側壁上。
第10圖亦繪示形成源極及汲極區域(其後稱作源極/汲極區域)154於區域100中以及形成源極/汲極區域254於區域200中。源極/汲極區域154包括矽條帶124作為中間部分,以及磊晶區域156位於矽條帶124的外側。源極/汲極區域254包括矽鍺條帶242作為中間部分,以及磊晶區域256位於矽鍺條帶242的外側。源極/汲極區域154及254的形成於第10B圖討論。
第10B圖包括源極/汲極區域154及254的剖面示意 圖,其中源極/汲極區域154的剖面示意圖係由第10A圖中的包含一垂直面的線10BN-10BN得到,而源極/汲極區域254的剖面示意圖係由第10A圖中的包含一垂直面的線10BP-10BP得到。
在源極/汲極區域154的形成中,首先薄化半導體鰭部144,其在一些實施例中包括半導體條帶124。薄化製程可包括一濕蝕刻,且蝕刻液可包括例如氟化氫(HF)、過氧化氫及醋酸(CH3COOH)的一溶液。虛線158繪示矽條帶124在薄化前的邊緣及上表面的位置。由於薄化的結果,薄化的矽條帶124(鰭部144)的寬度從薄化前的原本的寬度W2減少至薄化後的寬度W1。在一些實施例中,寬度W1介於寬度W2的50%至70%,雖然寬度W1可較大或較小。寬度W1及W2可自矽條帶124的半高處開始測量。如第10B圖所示,寬度W1及W2可自淺溝槽隔離區域136的上表面的高度H1/2開始測量。如第10B圖所示,虛線的原始的半導體鰭部144的側壁自淺溝槽隔離區域136的側壁開始延伸。然而,薄化的矽條帶124的側壁124A朝矽條帶124的中心線160開始凹蝕。矽條帶124的上表面在薄化過程也變得較低。
自薄化的矽條帶124磊晶成長n型磊晶區域156。根據一些實施例,n型磊晶區域156包括磷化矽,其中可在n型磊晶區域156成長時進行原位摻雜(in-situ dope)磷。也可使用不同於磷的其他n型雜質(例如砷)。由於n型磊晶區域156具有一晶格常數小於其下的矽鍺條帶122的晶格常數,會由源極/汲極區域154產生一拉應變於n型鰭式場效電晶體的通道區中。有益的是,由於在磊晶成長製程前薄化了半導體條帶124,所得的半導體條帶124的輪廓較像一橢圓形而非鑽石形。根據一些例示的實 施例,所得的源極/汲極區域154的磷濃度介於約5E20/cm3至2E21/cm3。此外,第1及2圖中,在形成矽條帶124的步驟時可不摻雜磷。然而,第10A及10B圖中,在接著此步驟的熱製程中,磷擴散進入薄化的矽條帶124。在接n型磊晶(磷化矽)區域156以及薄化的矽條帶124之間的界面的磷濃度可有(或沒有)顯著的下降。並且,可產生一摻雜濃度的梯度,其中鄰接n型磊晶(磷化矽)區域156的矽條帶124的外部較矽條帶124的內部具有一較高的n型雜質(磷)濃度。n型摻雜濃度可自薄化的矽條帶124的內部至外部逐漸且連續地增加。
亦如第10B圖所示,在源極/汲極區域254的形成中,首先薄化半導體鰭部244,其在一些實施例中包含矽鍺條帶242,薄化製程可包括一濕蝕刻,且蝕刻液在一些實施例中可包括例如氨水(NH3OH)、過氧化氫的一溶液。在一些實施例中,蝕刻液可包括例如氟化氫、過氧化氫及醋酸的一溶液。虛線258繪示矽鍺條帶242在薄化前的邊緣及上表面的位置。由於薄化的結果,薄化的半導體鰭部244的寬度從薄化前的原本的寬度W2’減少至薄化後的寬度W1’。根據一些實施例,寬度W1’介於寬度W2’的50%至70%,雖然寬度W1’可較大或較小。寬度W1’及W2’可自矽鍺條帶242的半高處開始測量。如第10B圖所示,虛線的原始的矽鍺條帶(半導體鰭部)244的側壁258自淺溝槽隔離區域236的側壁開始延伸。然而,薄化的矽鍺條帶242的側壁242A朝矽鍺條帶242的中心線260開始凹蝕。矽鍺條帶242的上表面在薄化過程也變得較低。
自薄化的矽鍺條帶242磊晶成長p型磊晶區域256。 根據一些實施例,p型磊晶區域256包括硼化矽鍺(SiGeB),其中可在p型磊晶區域256成長時進行原位摻雜(in-situ dope)硼。也可使用不同於硼的其他p型雜質(例如銦)。由於p型磊晶區域256具有一晶格常數大於其下的半導體條帶224/矽鍺條帶222的晶格常數,會由源極/汲極區域254產生一壓應變於p型鰭式場效電晶體的通道區中。根據一些例示的實施例,所得的源極/汲極區域254的p型雜質濃度(例如硼)介於約5E20/cm3至2E21/cm3。此外,在磊晶成長矽鍺條帶242的步驟時可不摻雜p型雜質(例如硼)。然而,第10A及10B圖中,在接著此步驟的熱製程中,硼擴散進入薄化的矽鍺條帶242。並且,可產生一摻雜濃度的梯度,其中鄰接p型磊晶(硼化矽鍺)區域256的矽鍺條帶242的外部較內部具有一較高的p型雜質濃度。p型摻雜濃度可自薄化的矽鍺條帶242的內部至外部逐漸且連續地增加。
p型磊晶(硼化矽鍺)區域256可為一均質區,其具有高於矽鍺條帶242的第一鍺百分比的一鍺百分比。p型磊晶(硼化矽鍺)區域256的鍺百分比可介於約70%至100%(其表示只有鍺而不含矽)的範圍。根據其他實施例,p型磊晶(硼化矽鍺)區域256包括p型磊晶(硼化矽鍺)區域256A,其具有高於矽鍺條帶242的第一鍺百分比的一第二鍺百分比。第二鍺百分比可介於60%至80%的範圍。於p型磊晶(硼化矽鍺)區域256A的外側形成p型磊晶(硼化矽鍺)區域256B,其具有高於p型磊晶(硼化矽鍺)區域256A的第二鍺百分比的一第三者百分比。根據一些實施例,第三鍺百分比可介於80%至100%的範圍。p型磊晶(硼化矽鍺)區域256、256A及256B具有梯度的鍺百分比,其外部具有漸增 地高於內部的鍺百分比。
第11圖繪示形成層間介電層(Inter-Layer Dielectric)62後的結構的透視圖。層間介電層62包括一介電材料例如氧化矽、磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸玻璃(Boro-Silicate Glass,BSG)、硼摻雜磷矽酸鹽玻璃(Boro-Doped Phospho-Silicate Glass,BPSG),或與其相似的材料。實施一化學機械研磨製程使層間介電層62的上表面與虛置閘極堆疊146及246的上表面齊平(第10A圖)。接著,於一蝕刻製程中移除虛置閘極堆疊146及246,因此凹部164及264形成於層間介電層62中,如第11圖所示。凹部164及264分別位於區域100及200中。半導體鰭部144及244因此分別於凹部164及264露出。
第12A及12B圖繪示形成取代閘極165及265,其包括閘極介電質166及266與閘極電極168及268。於是形成鰭式場效電晶體170及270。第12A圖繪示一透視圖。第12B圖繪示取自一垂直於鰭式場效電晶體170及270之源極至汲極方向的平面的一剖面示意圖。如第12B圖所示,矽條帶124包括部分124-1(其為鰭部144的一部份),其與取代閘極堆疊165重疊,以及薄化的部分124-2作為源極/汲極區域154的內部。部分124-1及124-2具有相同的四族半導體元素成份,例如矽。此外,薄化的部分124-2的上表面低於未薄化的部分124-1的上表面。
矽鍺條帶242包括部分242-1,其與取代閘極堆疊265重疊,以及薄化的部分242-2作為源極/汲極區域254的內部。部分242-1及242-2具有相同的四族半導體元素成份(例如矽及 鍺),其在部分242-1中的鍺百分比及矽百分比相同於部分242-2中對應的鍺百分比及矽百分比。於此敘述,當兩個區域視為具有相同的四族半導體元素成份,此兩區域具有相同百分比的矽及相同百分比的鍺。此外,薄化的部分242-2的上表面低於未薄化的部分242-1的上表面。金屬矽化物區域172及272、源極/汲極接觸插塞174及274亦繪示於第12B圖。
第12C圖繪示鰭式場效電晶體170及270的剖面示意圖,其中剖面示意圖取自與取代閘極165及265相交且垂直於源極至汲極方向的的一方向。如第12C圖所示,矽鍺氧化物區域132具有一些部分與矽鰭部124重疊。
本揭露的實施例具有一些有益的特徵。源極/汲極區域的形成包括薄化半導體鰭部的初始部分,但沒有全部移除,接著磊晶成長磊晶區域淤薄化的半導體鰭部上。這具有維持應變於通道區域的有益的特徵。相較之下,若完全移除半導體鰭部的初始部分,接著進行源極/汲極區域的重新成長,應變將會被釋放。另一方面,若在成長磊晶區域前並未薄化初始的半導體鰭部,在源極/汲極區域的對應的n型或p型摻雜物無法有效地擴散。
根據本揭露的一些實施例,一半導體裝置包括一半導體基底,以及複數隔離區域延伸進入半導體基底。一半導體鰭部,位於隔離區域的相對部分之間,其中半導體鰭部係位於隔離區域的上表面上。一閘極堆疊與半導體鰭部重疊。一源極/汲極區域位於閘極堆疊的一側並連接至半導體鰭部。源極/汲極區域包括薄於半導體鰭部的一內部,以及一外部位於內部 之外側。半導體鰭部以及源極/汲極區域的內部具有相同的四族半導體成份。
根據本揭露的另一些實施例,一半導體裝置包括一矽基底,複數隔離區域延伸進入矽基底,以及一p型鰭式場效電晶體。p型鰭式場效電晶體包括一矽鍺鰭部,其包括一中間部分以及位於中間部分的相對側面上的複數末端部分。中間部分的一上表面高於末端部分的上表面。矽鍺鰭部具有一第一鍺百分比。p型鰭式場效電晶體更包括與矽鍺鰭部的中間部分重疊的一閘極堆疊。一源極/汲極區域包括矽鍺鰭部末端部分之一者作為一內部,以及一矽鍺區域位於內部的外側,其中矽鍺區域具有大於第一鍺百分比的一第二鍺百分比。
根據本實施例的再另一些實施例,一半導體裝置之形成方法包括凹蝕位於一半導體條帶的相對側面上的複數隔離區域以形成一半導體鰭部,其中半導體鰭部位於隔離區域的上表面上,形成一閘極堆疊於半導體鰭部的一中間部分的一上表面及複數側壁上,薄化半導體鰭部的一末端部分,以及實施一磊晶製程以成長一半導體區域於半導體鰭部的薄化末端部分上。半導體鰭部的薄化末端部分以及半導體區域結合而形成一鰭式場效電晶體的一源極/汲極區域。根據鰭式場效電晶體的類型,半導體區域包括磷化矽或硼化矽鍺。
以上概略說明了本揭露數個實施例的特徵部件,使所屬技術領域中具有通常知識者對於後續本揭露的詳細說明可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到本說明書可輕易作為其它結構或製程的變更或設計基礎, 以進行相同於本揭露實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構或製程並未脫離本揭露之精神和保護範圍內,且可在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。
10‧‧‧晶圓
62‧‧‧層間介電層
100、200‧‧‧區域
120、220‧‧‧矽條帶
122、222‧‧‧矽鍺條帶
124-1、124-2、242-1、242-2‧‧‧部份
136、236‧‧‧淺溝槽隔離
154、254‧‧‧源極/汲極區域
156‧‧‧磊晶區域
165、265‧‧‧取代閘極
166、266‧‧‧閘極介電質
168、268‧‧‧閘極電極
170、270‧‧‧鰭式場效電晶體
172、272‧‧‧金屬矽化物區域
174、274‧‧‧源極/汲極接觸插塞
256‧‧‧磊晶區域

Claims (10)

  1. 一種半導體裝置,包括:一半導體基底;複數隔離區域,延伸進入該半導體基底;一半導體鰭部,位於該等隔離區域的相對部分之間,其中該半導體鰭部係位於該等隔離區域的上表面上;一閘極堆疊,與該半導體鰭部重疊;以及一源極/汲極區域,位於該閘極堆疊的一側並連接至該半導體鰭部,其中該源極/汲極區域包括:一內部,薄於該半導體鰭部,其中該半導體鰭部以及該源極/汲極區域的該內部具有相同的四族半導體成份;以及一外部,位於該內部之外側。
  2. 如申請專利範圍第1項所述之半導體裝置,其中該內部的一上表面低於該半導體鰭部的一上表面。
  3. 如申請專利範圍第1項所述之半導體裝置,其中該源極/汲極區域的該內部的一第一寬度為該半導體鰭部的一第二寬度的50%至70%,其中該第一寬度與第二寬度係沿一方向測量,該方向係垂直於包括該源極/汲極區域的一鰭式場效電晶體的一源極至汲極方向。
  4. 如申請專利範圍第1項所述之半導體裝置,其中該閘極與該源極/汲極區域係包含於一n型鰭式場效電晶體中,且該內部包括矽且不含鍺,且其中該外部包括磷化矽(silicon phosphorous),且該半導體裝置更包括二個矽鍺氧化物(SiGeOx)區域,其中該等矽鍺氧化物(SiGeOx)區域的內部與 該半導體鰭部重疊,以及一矽鍺區域,位於該二個矽鍺氧化物(SiGeOx)區域之間。
  5. 如申請專利範圍第1項所述之半導體裝置,其中該閘極堆疊與該源極/汲極區域係包含於一p型鰭式場效電晶體中,且該內部包括矽鍺,其具有一第一鍺百分比,以及該外部包括矽鍺,其具有大於該第一鍺百分比的一第二鍺百分比,該半導體裝置更包括一矽層,位於該源極/汲極區域的該內部下,以及一額外矽鍺層,位於該矽層下且位於該半導體基底上。
  6. 一種半導體裝置,包括:一矽基底;複數隔離區域,延伸進入該矽基底;以及一p型鰭式場效電晶體包括:一矽鍺鰭部,包括一中間部分以及位於該中間部分的相對側面上的複數末端部分,其中該中間部分的一上表面高於該等末端部分的上表面,且其中該矽鍺鰭部具有一第一鍺百分比;一閘極堆疊與該矽鍺鰭部的該中間部分重疊;以及一源極/汲極區域,包括該矽鍺鰭部該等末端部分之一者作為一內部,以及一矽鍺區域位於該內部的外側,其中該矽鍺區域具有大於該第一鍺百分比的一第二鍺百分比。
  7. 如申請專利範圍第6項所述之半導體裝置,其中該矽鍺鰭部延伸至低於該等隔離區域的上表面的一高度,且該半導體裝置更包括更包括: 一矽層,位於該矽鍺鰭部下;一額外矽鍺層,位於該矽層下;以及一矽條帶,位於該額外矽鍺層下,其中該矽條帶連續地連接至該矽基底。
  8. 如申請專利範圍第6項所述之半導體裝置,其中該矽鍺鰭部的該等末端部分薄於該矽鍺鰭部的該中間部分,其中該矽鍺鰭部的該等末端部分具有一第一寬度,其小於該矽鍺鰭部的該中間部分的一第二寬度,其中該第一寬度與第二寬度係沿一方向測量,該方向係垂直於包括該源極/汲極區域的一鰭式場效電晶體的一源極至汲極方向,且其中該第一寬度為該第二寬度的50%至70%。
  9. 一種半導體裝置之形成方法,包括:凹蝕位於一半導體條帶的相對側面上的複數隔離區域以形成一半導體鰭部,其中該半導體鰭部位於該等隔離區域的上表面上;形成一閘極堆疊於該半導體鰭部的一中間部分的一上表面及複數側壁上;薄化該半導體鰭部的一末端部分;以及實施一磊晶製程以成長一半導體區域於該半導體鰭部的該薄化末端部分上,其中該半導體鰭部的該薄化末端部分以及該半導體區域結合而形成一鰭式場效電晶體的一源極/汲極區域。
  10. 如申請專利範圍第9項所述之半導體裝置之形成方法,其中該鰭式場效電晶體為一p型鰭式場效電晶體,且該半導體鰭 部包括一矽鍺鰭部,其具有一第一鍺百分比,其中該磊晶製程包括成長一硼化矽鍺區域,其具有高於該第一鍺百分比的一第二鍺百分比,在凹蝕該等隔離區域前,於該等隔離區域之間蝕刻一矽條帶的一頂部部分以形成一凹部,以及於該凹部中成長一矽鍺條帶,使該矽鍺條帶從該矽條帶的底部部分成長,其中該矽鍺條帶具有該第一鍺百分比。
TW104126209A 2014-10-29 2015-08-12 半導體裝置及其形成方法 TWI604607B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/527,660 US9941406B2 (en) 2014-08-05 2014-10-29 FinFETs with source/drain cladding

Publications (2)

Publication Number Publication Date
TW201616649A true TW201616649A (zh) 2016-05-01
TWI604607B TWI604607B (zh) 2017-11-01

Family

ID=55753500

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104126209A TWI604607B (zh) 2014-10-29 2015-08-12 半導體裝置及其形成方法

Country Status (5)

Country Link
US (4) US9941406B2 (zh)
KR (1) KR101745771B1 (zh)
CN (1) CN106158958B (zh)
DE (1) DE102014119642B4 (zh)
TW (1) TWI604607B (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9847333B2 (en) * 2015-03-09 2017-12-19 Globalfoundries Inc. Reducing risk of punch-through in FinFET semiconductor structure
KR102330757B1 (ko) * 2015-03-30 2021-11-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN106252392B (zh) * 2015-06-09 2020-08-18 联华电子股份有限公司 半导体元件及其制作方法
US9548361B1 (en) * 2015-06-30 2017-01-17 Stmicroelectronics, Inc. Method of using a sacrificial gate structure to make a metal gate FinFET transistor
US9922978B2 (en) 2015-08-21 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with recessed source/drain structure and method for forming the same
US9679899B2 (en) 2015-08-24 2017-06-13 Stmicroelectronics, Inc. Co-integration of tensile silicon and compressive silicon germanium
US9786782B2 (en) * 2015-10-23 2017-10-10 International Business Machines Corporation Source/drain FinFET channel stressor structure
US10796924B2 (en) 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
KR102414182B1 (ko) * 2017-06-29 2022-06-28 삼성전자주식회사 반도체 소자
US10276718B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a relaxation prevention anchor
DE112017007835T5 (de) 2017-09-26 2020-05-07 Intel Corporation Bildung von kristallinen source-/drain-kontakten an halbleitervorrichtungen
US10510894B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US11094597B2 (en) * 2018-09-28 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
DE102019111297B4 (de) * 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR20200141142A (ko) 2019-06-10 2020-12-18 삼성전자주식회사 반도체 장치
KR20210033102A (ko) * 2019-09-17 2021-03-26 삼성전자주식회사 반도체 소자
KR20210056154A (ko) 2019-11-08 2021-05-18 삼성전자주식회사 액티브 패턴 구조물 및 이를 포함하는 반도체 장치
US20210257462A1 (en) * 2020-02-19 2021-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-Germanium Fins and Methods of Processing the Same in Field-Effect Transistors
US11652105B2 (en) * 2020-07-22 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxy regions with large landing areas for contact plugs

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US6882025B2 (en) 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
KR100487566B1 (ko) 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
KR100521384B1 (ko) * 2003-11-17 2005-10-12 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR100550343B1 (ko) * 2003-11-21 2006-02-08 삼성전자주식회사 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7485520B2 (en) 2007-07-05 2009-02-03 International Business Machines Corporation Method of manufacturing a body-contacted finfet
JP2009032955A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
JP5285947B2 (ja) * 2008-04-11 2013-09-11 株式会社東芝 半導体装置、およびその製造方法
US8048723B2 (en) * 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
JP2010103142A (ja) * 2008-10-21 2010-05-06 Toshiba Corp 半導体装置の製造方法
US8263462B2 (en) * 2008-12-31 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric punch-through stoppers for forming FinFETs having dual fin heights
US8298925B2 (en) * 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8460172B2 (en) * 2010-07-29 2013-06-11 Medtronic, Inc. Tissue stabilizing device and methods including a self-expandable head-link assembly
US8551829B2 (en) 2010-11-10 2013-10-08 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8492235B2 (en) * 2010-12-29 2013-07-23 Globalfoundries Singapore Pte. Ltd. FinFET with stressors
US20120265319A1 (en) 2011-04-13 2012-10-18 Total Joint Orthopedics Total hip arthroplasty
KR101850703B1 (ko) 2011-05-17 2018-04-23 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8455307B2 (en) * 2011-05-19 2013-06-04 GlobalFoundries, Inc. FINFET integrated circuits and methods for their fabrication
JP2013183085A (ja) * 2012-03-02 2013-09-12 Toshiba Corp 半導体装置の製造方法
US8853037B2 (en) * 2012-03-14 2014-10-07 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US9368388B2 (en) 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9041116B2 (en) * 2012-05-23 2015-05-26 International Business Machines Corporation Structure and method to modulate threshold voltage for high-K metal gate field effect transistors (FETs)
US8796695B2 (en) * 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US9583398B2 (en) 2012-06-29 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having FinFETS with different fin profiles
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US9006065B2 (en) * 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US9012287B2 (en) 2012-11-14 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout for SRAM FinFET transistors
US8815691B2 (en) * 2012-12-21 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate all around device
US8901607B2 (en) 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9318606B2 (en) 2013-01-14 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same
US9859429B2 (en) 2013-01-14 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same
US9306069B2 (en) 2013-09-11 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of fin field effect transistor
US9006786B2 (en) 2013-07-03 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9735255B2 (en) * 2013-01-18 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a finFET device including a stem region of a fin element
KR102038486B1 (ko) * 2013-04-09 2019-10-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
WO2015047341A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
US9196522B2 (en) 2013-10-16 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with buried insulator layer and method for forming
US9159833B2 (en) 2013-11-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9099570B2 (en) * 2013-12-05 2015-08-04 Stmicroelectronics, Inc. Method for the formation of dielectric isolated fin structures for use, for example, in FinFET devices
US9660080B2 (en) * 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
CN105336587B (zh) * 2014-06-17 2018-05-15 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US9209185B2 (en) * 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US9178067B1 (en) 2014-04-25 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9502565B2 (en) 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9570360B2 (en) * 2014-08-27 2017-02-14 International Business Machines Corporation Dual channel material for finFET for high performance CMOS
US9583598B2 (en) * 2014-10-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US10720527B2 (en) * 2018-01-03 2020-07-21 International Business Machines Corporation Transistor having an oxide-isolated strained channel fin on a bulk substrate

Also Published As

Publication number Publication date
US10269964B2 (en) 2019-04-23
US10868186B2 (en) 2020-12-15
US20190237572A1 (en) 2019-08-01
KR101745771B1 (ko) 2017-06-12
CN106158958B (zh) 2019-07-19
US10707349B2 (en) 2020-07-07
US20200321461A1 (en) 2020-10-08
KR20160051512A (ko) 2016-05-11
DE102014119642A1 (de) 2016-05-04
US9941406B2 (en) 2018-04-10
CN106158958A (zh) 2016-11-23
DE102014119642B4 (de) 2018-01-11
TWI604607B (zh) 2017-11-01
US20160126343A1 (en) 2016-05-05
US20180012989A1 (en) 2018-01-11

Similar Documents

Publication Publication Date Title
TWI604607B (zh) 半導體裝置及其形成方法
US11101359B2 (en) Gate-all-around (GAA) method and devices
US10163903B2 (en) FETS and methods of forming FETS
JP7011190B2 (ja) 垂直輸送フィン電界効果トランジスタおよび垂直輸送フィン電界効果トランジスタの形成方法
TWI578525B (zh) 半導體裝置及其形成方法
KR102058219B1 (ko) FinFET 구조체 및 이를 형성하는 방법
TWI656564B (zh) 半導體結構的製造方法
TW202036662A (zh) 半導體裝置的形成方法
US10777664B2 (en) Epitaxy source/drain regions of FinFETs and method forming same
KR102107623B1 (ko) 금속 절단 공정에서의 푸팅 제거
TW201643966A (zh) 鰭式場效電晶體裝置及其形成方法
TWI828962B (zh) 半導體裝置及其形成方法
TW202029340A (zh) 半導體裝置及其形成方法
TW202131389A (zh) 半導體結構及其形成方法
TWI831110B (zh) 半導體裝置及其製造方法
TWI523114B (zh) 鰭狀電晶體與其製作方法
TWI807706B (zh) 半導體裝置及其製造方法
TWI778507B (zh) 半導體元件及其形成方法
TW202221772A (zh) 填充結構及其製造方法
TW202203327A (zh) 半導體裝置及其形成方法
TW202312354A (zh) 積體電路裝置
TW202320228A (zh) 半導體裝置及其製造方法
TW202021043A (zh) 半導體元件及其形成方法