CN106158958A - 具有源极/漏极覆盖层的FinFET - Google Patents

具有源极/漏极覆盖层的FinFET Download PDF

Info

Publication number
CN106158958A
CN106158958A CN201510172108.3A CN201510172108A CN106158958A CN 106158958 A CN106158958 A CN 106158958A CN 201510172108 A CN201510172108 A CN 201510172108A CN 106158958 A CN106158958 A CN 106158958A
Authority
CN
China
Prior art keywords
fin
sige
source
silicon
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510172108.3A
Other languages
English (en)
Other versions
CN106158958B (zh
Inventor
江国诚
冯家馨
吴志强
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106158958A publication Critical patent/CN106158958A/zh
Application granted granted Critical
Publication of CN106158958B publication Critical patent/CN106158958B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

一种器件包括半导体衬底以及延伸至半导体衬底内的隔离区。半导体鳍位于隔离区的相对部分之间,其中,半导体鳍位于隔离区的顶面上方。栅极堆叠件与半导体鳍重叠。源极/漏极区位于栅极堆叠件的侧部上并且连接至半导体鳍。源极/漏极区包括比半导体鳍薄的内部以及位于内部外侧的外部。半导体鳍和源极/漏极区的内部具有相同的IV族半导体的组分。本发明还涉及具有源极/漏极覆盖层的FinFET。

Description

具有源极/漏极覆盖层的FinFET
优先权声明和交叉引用
本申请与2014年8月5日提交的标题为“Nonplanar Device andStrain-Generating Channel Dielectric”的以下共同受让的美国专利申请第14/451,503号相关,其全部内容结合于此作为参考。
技术领域
本发明涉及集成电路器件,更具体地,涉及具有源极/漏极覆盖层的FinFET。
背景技术
IC材料和设计中的技术进步已经产生了数代的IC,其中每代IC都具有比上一代IC更小和更复杂的电路。功能密度(即,每一芯片面积上互连器件的数量)通常已经增加而几何尺寸(即,使用制造工艺可以制造的最小部件(或线))却已减小。这种按比例缩小工艺通常通过提高生产效率和降低相关成本而提供益处。
这种按比例缩小也增大了加工和制造IC的复杂度,并且为了继续实现这些进步,也需要IC加工和制造中的进一步发展。例如,已经引入诸如鳍式场效应晶体管(FinFET)的三维晶体管以代替平面晶体管。虽然现有的FinFET器件及其制造方法通常已经能够满足它们的预期目的,但是它们不是在所有方面都已完全令人满意。期望该领域中的改进。
发明内容
为了解决现有技术中存在的问题,本发明提供了一种器件,包括:半导体衬底;隔离区,延伸至所述半导体衬底内;半导体鳍,位于所述隔离区的相对部分之间,其中,所述半导体鳍位于所述隔离区的顶面上方;栅极堆叠件,与所述半导体鳍重叠;以及源极/漏极区,位于所述栅极堆叠件的侧部上并且连接至所述半导体鳍,其中,所述源极/漏极区包括:内部,比所述半导体鳍薄,其中,所述半导体鳍和所述源极/漏极区的所述内部具有相同的IV族半导体的组分;和外部,位于所述内部外侧。
在上述器件中,其中,所述内部的顶面低于所述半导体鳍的顶面。
在上述器件中,其中,所述源极/漏极区的所述内部的第一宽度为所述半导体鳍的第二宽度的约50%至约70%,其中,在与包括所述源极/漏极区的鳍式场效应晶体管(FinFET)的源极至漏极方向垂直的方向上测量所述第一宽度和所述第二宽度。
在上述器件中,其中,所述栅极堆叠件和所述源极/漏极区包括在n型鳍式场效应晶体管(FinFET)中,并且所述内部包括硅且不含锗,并且其中,所述外部包括硅磷。
在上述器件中,其中,所述栅极堆叠件和所述源极/漏极区包括在n型鳍式场效应晶体管(FinFET)中,并且所述内部包括硅且不含锗,并且其中,所述外部包括硅磷,其中,所述器件还包括:两个SiGeOx区,其中,所述SiGeOx区的内部与所述半导体鳍重叠。
在上述器件中,其中,所述栅极堆叠件和所述源极/漏极区包括在n型鳍式场效应晶体管(FinFET)中,并且所述内部包括硅且不含锗,并且其中,所述外部包括硅磷,其中,所述器件还包括:两个SiGeOx区,其中,所述SiGeOx区的内部与所述半导体鳍重叠,其中,所述器件还包括:硅锗区,位于所述两个SiGeOx区之间。
在上述器件中,其中,所述栅极堆叠件和所述源极/漏极区包括在p型鳍式场效应晶体管(FinFET)中,并且所述内部包括具有第一锗百分比的硅锗,并且所述外部包括具有大于所述第一锗百分比的第二锗百分比的硅锗。
在上述器件中,其中,所述栅极堆叠件和所述源极/漏极区包括在p型鳍式场效应晶体管(FinFET)中,并且所述内部包括具有第一锗百分比的硅锗,并且所述外部包括具有大于所述第一锗百分比的第二锗百分比的硅锗,其中,所述器件还包括:硅层,位于所述源极/漏极区的所述内部下面;以及附加硅锗层,位于所述硅层下面并且位于所述半导体衬底上面。
根据本发明的另一实施例,提供了一种器件,包括:硅衬底;隔离区,延伸至所述硅衬底内;以及p型鳍式场效应晶体管(FinFET),包括:硅锗鳍,所述硅锗鳍包括中间部分和位于所述中间部分的相对两侧上的端部,其中,所述中间部分的顶面高于所述端部的顶面,并且其中,所述硅锗鳍具有第一锗百分比;栅极堆叠件,与所述硅锗鳍的所述中间部分重叠;和源极/漏极区,包括作为内部的所述硅锗鳍的所述端部中的一个以及位于所述内部外侧的硅锗区,其中,所述硅锗区具有高于所述第一锗百分比的第二锗百分比。
在上述器件中,其中,所述源极/漏极区还包括位于所述硅锗区外侧的附加硅锗区,其中,所述附加硅锗区具有高于所述第二锗百分比的第三锗百分比。
在上述器件中,其中,所述硅锗鳍延伸至低于所述隔离区的顶面的水平面,并且所述器件还包括:硅层,位于所述硅锗鳍下面;附加硅锗层,位于所述硅层下面;以及硅条,位于所述附加硅锗层下面,其中,所述硅条连续地连接至所述硅衬底。
在上述器件中,其中,所述硅锗鳍的所述端部比所述硅锗鳍的所述中间部分薄。
在上述器件中,其中,所述硅锗鳍的所述端部比所述硅锗鳍的所述中间部分薄,其中,所述硅锗鳍的所述端部的第一宽度小于所述硅锗鳍的所述中间部分的第二宽度,其中,在与包括所述源极/漏极区的鳍式场效应晶体管(FinFET)的源极至漏极方向垂直的方向上测量所述第一宽度和所述第二宽度。
在上述器件中,其中,所述硅锗鳍的所述端部比所述硅锗鳍的所述中间部分薄,其中,所述硅锗鳍的所述端部的第一宽度小于所述硅锗鳍的所述中间部分的第二宽度,其中,在与包括所述源极/漏极区的鳍式场效应晶体管(FinFET)的源极至漏极方向垂直的方向上测量所述第一宽度和所述第二宽度,其中,所述第一宽度介于所述第二宽度的约50%和约70%之间。
根据本发明的又一实施例,提供了一种方法,包括:使半导体条的相对两侧上的隔离区凹进以形成半导体鳍,其中,所述半导体鳍位于所述隔离区的顶面上方;在所述半导体鳍的中间部分的顶面和侧壁上形成栅极堆叠件;减薄所述半导体鳍的端部;以及实施外延以在所述半导体鳍的减薄的端部上生长半导体区,其中,所述半导体鳍的所述减薄的端部和所述半导体区组合形成鳍式场效应晶体管(FinFET)的源极/漏极区。
在上述方法中,其中,在形成所述栅极堆叠件之后实施所述减薄。
在上述方法中,其中,所述FinFET是n型FinFET,并且所述半导体鳍是不含锗的硅鳍,并且其中,所述外延包括生长硅磷区。
在上述方法中,其中,所述半导体条包括与硅锗条重叠的硅条,并且所述方法还包括:对所述硅锗条的外部实施氧化,其中,在所述氧化中,所述硅锗条的内部中的锗浓缩。
在上述方法中,其中,所述FinFET是p型FinFET,并且所述半导体鳍包括具有第一锗百分比的硅锗鳍,并且其中,所述外延包括生长具有高于所述第一锗百分比的第二锗百分比的硅锗硼区。
在上述方法中,其中,所述FinFET是p型FinFET,并且所述半导体鳍包括具有第一锗百分比的硅锗鳍,并且其中,所述外延包括生长具有高于所述第一锗百分比的第二锗百分比的硅锗硼区,其中,还包括,在使所述隔离区凹进之前:蚀刻所述隔离区之间的硅条的顶部以形成凹槽;以及在所述凹槽中生长硅锗条,从所述硅条的底部生长所述硅锗条,其中,所述硅锗条具有所述第一锗百分比。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1至图4A、图5至图10A以及图11至图12A是在示例性鳍式场效应晶体管(FinFET)的制造中的中间阶段的立体图,而图4B、图10B和图12B至图12C是在示例性鳍式场效应晶体管(FinFET)的制造中的中间阶段的截面图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作相应的解释。
在论述示出的实施例之前,将大体上讨论本发明的特征和方面。通常地,本发明涉及但不限于包括P型金属氧化物半导体(PMOS)FinFET器件和N型金属氧化物半导体(NMOS)FinFET器件的互补金属氧化物半导体(CMOS)器件。根据各个示例性实施例提供了形成CMOS器件的方法。示出并且讨论了形成示例性FinFET的中间阶段,包括实施例的变化。贯穿各个视图和说明性实施例,相同的参考标号用于表示相同的元件。
图1示出了包括衬底20以及位于衬底20上方的半导体层22和24的晶圆10。根据一些实施例,衬底20包括晶体硅并且可以不含锗。在一些实施例中,晶圆10是块状的单晶半导体晶圆。在其他实施例中,晶圆10包括如本领域已知的绝缘体上硅(SOI)晶圆。半导体层22包括硅锗(SiGe)。根据本发明的一些实施例,半导体层22中的锗百分比介于约30%和约80%的范围内。半导体层22的厚度可以介于约20nm和约90nm的范围内。根据一些实施例,半导体层24可以是不含锗的硅层。在可选实施例中,半导体层24可以包括硅磷(SiP)。
晶圆10包括说明性的区域100和200。区域100是n型FinFET区域,其中将形成n型FinFET。区域200是p型FinFET区域,其中将形成p型FinFET。虽然贯穿本发明中的图将区域100和200示出为彼此分隔开,但是区域100和200是同一晶圆10的部分并且可以位于同一芯片中。例如,区域100和200中示出的衬底20是同一连续的衬底的部分,并且半导体层22和24也是同一连续的层的部分。
参照图2,层22和24经受图案化工艺以形成延伸至晶圆10内的多个沟槽26。沟槽26将半导体衬底20以及半导体层22和24的一些未图案化的部分限定为分别位于区域100和200中的多个半导体条128和228。半导体条128包括图案化的衬底20的部分120、图案化的半导体层22的部分122和图案化的半导体层24的部分124。半导体条228包括图案化的衬底20的部分220、图案化的半导体层22的部分222和图案化的半导体层24的部分224。根据一些实施例,半导体条128和228具有介于约4nm和约10nm之间的相应的宽度。贯穿说明书,条120、220、124和224称为硅条,而条122和222称为SiGe条。
图3示出了硬掩模30的形成以及随后的氧化工艺。如图3所示,硬掩模30形成在半导体条128和228的顶面和侧壁上并且覆盖半导体衬底20的暴露的顶面(即,沟槽26的相应底部)。此外,硬掩模30形成在n型FinFET区域100和p型FinFET区域200中。接下来,实施图案化工艺以从半导体条128的中间部分去除硬掩模30的部分。半导体条128的相对端部上的硬掩模30的部分保持完整。此外,未图案化p型FinFET区域200中的硬掩模30的部分。如图3所示,区域200中的整个鳍228均被覆盖,但是区域100中的鳍128的中间部分未被覆盖。
硬掩模30形成为共形层,该共形层具有厚度彼此相对接近的水平部分和垂直部分。根据一些实施例,硬掩模30包括氮化硅、碳化硅、氮氧化硅、氮化钛、氮化钽或者相对于半导体条128和228以及相对于氧化硅具有高蚀刻选择性的其他材料。
接下来,实施氧化,从而使得氧化未由硬掩模30覆盖的SiGe条122(图2)的中间部分以形成氧化硅锗(SiGeOx)区132。SiGe条222以及更具体地SiGe条122的端部受到硬掩模30的保护,并且因此不被氧化。在氧化之后,去除硬掩模30,并且图4A中示出了产生的结构,图4A示出,SiGeOx区132位于半导体条128的中间。
图4B示出了条128中的一个的截面图,其中,从图4A中的包含线4B-4B的垂直面获得该截面图。为了清楚的目的,示出了单个半导体条128。如图4B所示,SiGe条122的内部保持未被氧化。也可以部分地氧化未由硬掩模30(图3)覆盖的硅条120和124的中间部分。然而,SiGe条122的中间部分的氧化速率比硅条120和124的氧化高得多(有时高30倍)。因此在硅条120和124的表面上产生的氧化物(未示出)非常薄(其可以具有小于约的厚度),并且因此在本文中未示出。例如,可以通过炉氧化来实施氧化,例如,通过将晶圆10暴露于具有介于约400℃和约600℃之间的氧化温度的氧气环境中。氧化工艺的持续时间可以介于约20分钟和约40分钟的范围内。氧化工艺的持续时间取决于温度。较低的温度需要较长的氧化持续时间,反之亦然。可选地,可以使用低温下(例如,介于约20℃和80℃)的化学氧化方法来实施氧化,例如,将过氧化氢(H2O2)溶液用作氧化剂。产生的SiGeOx区132可以包括形成在剩余的SiGe条122的相对两侧上的两个部分。根据一些实施例,SiGeOx区132具有介于约3nm和约10nm之间的相应的厚度。
虽然预期受到任何特定的以下理论的约束,但是认为,在氧化工艺期间,SiGe条122中的锗原子趋于从SiGeOx区132向内迁移并且朝向相应的SiGe条122的中心(内部)迁移,从而导致SiGe条122的内部的锗浓缩。结果,SiGe条122的剩余的部分(即,未氧化部分)的锗浓度高于SiGe条222(图4A)中的相应的锗浓度。
由于氧化工艺,SiGeOx区132的体积扩展为大于生成SiGeOx区132的SiGe条122的部分的体积。因此,材料的膨胀导致生成横向拉伸应变以驱使源极/漏极区154(图12A)彼此分离。也生成垂直应变以向上推硅条124,其中硅条124将用于形成产生的n型FinFET的沟道。因此,SiGe条122的氧化有利地使得在产生的n型FinFET中生成期望的应变。相反,掩蔽区域200中的SiGe条222以防止在产生的p型FinFET中形成不期望的应变。
参照图5,在半导体条128和228的顶面和侧壁上分别形成介电衬垫134和234。此外,介电衬垫134和234延伸至SiGeOx区132(图4A)的侧壁上并且与SiGeOx区132的侧壁接触。根据本发明的一些实施例,介电衬垫134和234由氮化硅、氧化铝(Al2O3)、氮氧化硅、碳化硅、它们的组合或它们的多层形成。在一些实施例中,例如,可以使用相同的工艺和材料在区域100和200中同时形成介电衬垫134和234。介电衬垫134和234形成为共形层,该共形层具有厚度彼此相等或基本接近(例如,厚度差小于约20%)的垂直部分和水平部分。介电衬垫134和234的厚度可以介于约2nm和约6nm的范围内。
接下来,在区域100和200中的沟槽26中形成隔离区。产生的隔离区136和236在图6中示出并且在整个说明书中也称为浅沟槽隔离(STI)区136和236。在STI区136和236的形成中,首先用介电材料填充沟槽26(图5)。例如,可以使用选自旋涂、可流动化学汽相沉积(FCVD)等的方法形成介电材料。介电材料可以包括如本领域已知的高度可流动材料。根据可选实施例,使用诸如高密度等离子体化学汽相沉积(HDPCVD)和高高宽比工艺(HARP)的沉积方法来沉积介电材料。
在一些实施例中,然后可以对晶圆10实施退火步骤,由此使介电材料固化。例如,退火可以包括使用原位蒸汽生成(ISSG)的蒸汽退火,其中氢气(H2)和氧气(O2)的组合气体用于生成蒸汽。
在形成介电材料之后,实施化学机械抛光(CMP)以去除位于介电衬垫134和234的顶面部分上方的介电材料的过量部分,并且因此形成STI区136和236。根据本发明的一些实施例,介电衬垫134和234的顶面部分用作CMP停止层。介电材料的剩余部分形成STI区136和236。例如,STI区136和236可以包括氧化硅,但是也可以使用其他介电材料。STI区136和236的顶面可以彼此基本平齐并且与介电衬垫134和234的顶面平齐。
还参照图6,形成并且图案化硬掩模138。在示出的实施例中,由硬掩模138覆盖n型FinFET区域100中的结构,并且使得p型FinFET区域200中的结构暴露。在图6和随后的图中,为了示出另外的隐藏的部件,从图中省略诸如STI区136和236的前面的部件的一些部分,从而使得可以示出另外的部件。将理解,这些部件的省略部分仍然存在。根据本发明的一些实施例,硬掩模138由氮化硅、氧化硅或其他合适的材料形成。此外,在一些实施例中,硬掩模138可以由与介电衬垫134和234的材料不同的材料形成,从而使得可以在不蚀刻硬掩模138的情况下蚀刻介电衬垫134和234,反之亦然。
图7示出了硅条224的凹进,因此在区域200中形成凹槽240。作为实例,可以使用诸如氢氧化钾(KOH)或四甲基氢氧化铵(TMAH)的湿蚀刻来实施蚀刻。根据本发明的一些实施例,在暴露SiGe条222之前停止蚀刻。因此,在蚀刻之后,硅条224的底部仍然覆盖SiGe条222。应该理解,虽然剩余的硅条224示出为具有平坦的顶面,但是在可选实施例中,该顶面也可以形成V形。根据其他实施例,在蚀刻之后,去除硅条224,并且暴露SiGe条222。硬掩模138确保在该工艺期间不蚀刻条128。
接下来,如图8所示,在凹槽240(图7)中外延生长SiGe条242。因此,取决于实施例,SiGe条242生长在硅条224或SiGe条222上方并且与硅条224或SiGe条222接触。根据本发明的一些实施例,SiGe条242具有介于约30%和约50%的范围内的第一锗(原子)百分比。SiGe可以外延生长至与STI区236的顶面齐平,或者可以生长至高于STI区236的顶面的水平面,并且然后CMP工艺用于平坦化SiGe的顶面与STI区236的顶面。外延生长的SiGe材料的剩余部分形成SiGe条242。
接下来,去除硬掩模138,随后使STI区136和236凹进。图9中示出了产生的结构。在区域100中,硅条124具有高于剩余的STI区136的顶面的顶部,其中硅条124的顶部此后称为半导体鳍(硅鳍)144。根据本发明的一些实施例,剩余的STI区136的顶面与由图9中的介电衬垫134覆盖的SiGeOx区132(图4A和图4B)的顶端齐平或高于SiGeOx区132的顶端。
在使STI区136凹进的同时,也使STI区236凹进。SiGe条242具有高于剩余的STI区236的顶面的顶部,其中SiGe条242的顶部此后称为半导体鳍(SiGe鳍)244。根据一些实施例,半导体鳍144和244具有介于约20nm和约40nm之间的高度。剩余的STI区236的顶面可以与剩余的硅条224(如果存在)的顶端齐平或高于剩余的硅条224的顶端,或者如果硅条224在先前步骤中被完全去除,则剩余的STI区236的顶面可以与SiGe条222的顶面齐平或高于SiGe条222的顶面。
如图9所示,暴露介电衬垫134和234的一些部分。然后去除介电衬垫134和234的这些部分。接下来,如图10A所示,形成伪栅极堆叠件146和246以分别覆盖半导体鳍144和244(图9)的中间部分。未覆盖半导体鳍144和244的端部。根据一些实施例,栅极堆叠件146包括伪栅极148以及掩模层150和/或152,并且栅极堆叠件246包括伪栅极248以及掩模层250和/或252。根据一些实施例,伪栅极148和248可以由多晶硅形成,但是可以使用其他材料。在一些示例性实施例中,掩模层150和250由氮化硅形成,并且掩模层152和252由氧化硅形成。虽然在图9中未示出,但是可以在伪栅极148和248下面形成诸如氧化硅层的伪栅极电介质。伪栅极148和248分别形成在半导体鳍144和244(图9)的顶面和侧壁上。此外,栅极堆叠件146和246可以分别包括栅极间隔件153和253,栅极间隔件153和253分别形成在伪栅极148和248的侧壁上。
图10A也示出了区域100中的源极和漏极区(此后称为源极/漏极区)154的形成以及区域200中的源极/漏极区254的形成。源极/漏极区154包括作为中心部分的硅条124以及位于硅条124的外部的外延区156。源极/漏极区254包括作为中心部分的SiGe条242以及位于SiGe条242的外部的外延区256。参照图10B讨论了源极/漏极区154和254的形成。
图10B包括源极/漏极区154和254的截面图,其中,从图10A中的包含线10BN-10BN的垂直面获得源极/漏极区154的截面图,并且从图10A中的包含线10BP-10BP的垂直面获得源极/漏极区254的截面图。
在源极/漏极区154的形成中,首先减薄半导体鳍144,在一些实施例中,半导体鳍144包括硅条124。例如,该减薄可以包括湿蚀刻,并且蚀刻剂可以包括HF、过氧化氢(H2O2)和醋酸(CH3COOH)的溶液。虚线158示出了在减薄之前的硅条124的边缘和顶面的位置。由于减薄,减薄的硅条124(鳍144)的宽度从减薄之前的原始宽度W2减小至减薄之后的宽度W1。根据一些实施例,宽度W1介于宽度W2的约50%和约70%之间,但是宽度W1可以更大或更小。可以从硅条124的中间高度测量宽度W1和W2。如图10B所示,在STI区136的顶面之上的1/2高度H处测量宽度W1和W2。也如图10B所示,原始半导体鳍144的虚线侧壁从STI区136的侧壁延伸。然而,朝着硅条124的中心线160使减薄的硅条124的侧壁124A凹进。由于减薄,也降低了硅条124的顶面。
从减薄的硅条124外延生长n型外延区156。根据一些实施例,n型外延区156包括SiP,其中,当生长n型外延区156时,可以原位掺杂磷。也可以使用除了磷之外的其他n型杂质(诸如砷)。由于n型外延区156的晶格常数小于下面的SiGe条122的晶格常数,通过源极/漏极区154在相应的n型FinFET的沟道区中生成拉伸应变。有利地,通过在外延生长工艺之前减薄半导体条124,产生的n型外延区156的轮廓更像椭圆形而不是金刚石形。根据一些示例性实施例,产生的源极/漏极区154中的磷的浓度介于约5E20/cm3和约2E21/cm3的范围内。此外,当在图1和图2中示出的步骤中形成硅条124时,硅条124可以不掺杂磷。然而,在图10A和图10B中示出的步骤之后的热工艺中,磷扩散至减薄的硅条124内。位于SiP区156和减薄的硅条124之间的界面处的磷浓度可能或可能不存在显著下降。而且,可以生成掺杂浓度的梯度,其中,邻接SiP区156的硅条124的外部比硅条124的内部具有更高的n型杂质(磷)浓度。n型掺杂浓度可以从减薄的硅条124的内部区至外部区逐渐并且连续地增大。
也如图10B所示,在源极/漏极区254的形成中,首先减薄半导体鳍244,在一些实施例中,半导体鳍244包括SiGe条242。在一些实施例中,该减薄可以包括湿蚀刻,并且蚀刻剂可以包括包含NH3OH和H2O2的溶液。在可选实施例中,例如,蚀刻剂包括HF、过氧化氢(H2O2)和醋酸(CH3COOH)。虚线258示出了在减薄之前的SiGe条242的边缘和顶面的位置。由于减薄,减薄的半导体鳍244的宽度从减薄之前的原始宽度W2’减小至宽度W1’。根据一些实施例,宽度W1’介于宽度W2’的约50%和约70%之间,但是宽度W1’可以更大或更小。可以从SiGe条242的中间高度测量宽度W1’和W2’。如图10B所示,原始半导体鳍244的虚线侧壁258从STI区236的侧壁延伸。然而,使减薄的SiGe条242的侧壁242A朝着SiGe条242的中心线260凹进。由于减薄,也降低了减薄的SiGe条242的顶面。
从减薄的SiGe条242外延生长p型外延区256。根据一些实施例,p型外延区256包括SiGeB,其中,当生长p型外延区256时,可以原位掺杂硼。也可以使用除了硼之外的其他p型杂质(诸如铟)。由于p型外延区256的晶格常数大于下面的Si条224和/或SiGe条222的晶格常数,通过源极/漏极区254在相应的p型FinFET的沟道区中生成压缩应变。根据一些示例性实施例,产生的源极/漏极区254中的p型杂质(诸如硼)的浓度介于约5E20/cm3和约2E21/cm3的范围内。此外,当外延生长SiGe条242时,可以不用p型杂质(诸如硼)掺杂SiGe条242。然而,在图10A和图10B中的步骤之后的热工艺中,硼扩散至减薄的SiGe条242内。而且,可以形成掺杂浓度的梯度,其中,邻接SiGeB区256的SiGe条242的外部比内部具有更高的p型杂质浓度。p型掺杂浓度可以从减薄的SiGe条242的内部区至外部区逐渐地增大。
SiGeB区256可以是具有比SiGe条242的第一锗百分比高的高锗百分比的均质区。SiGeB区256的锗百分比可以介于约70%和约100%(这是指没有硅的锗)的范围内。根据可选实施例,SiGeB区256包括具有比SiGe条242的第一锗百分比高的第二锗百分比的SiGeB区256A。第二锗百分比可以介于约60%和约80%的范围内。在SiGeB区256A外部形成SiGeB区256B,SiGeB区256B具有比SiGeB区256A的第二锗百分比高的第三锗百分比。根据一些实施例,第三锗百分比可以介于约80%和约100%的范围内。SiGeB区256、256A和256B可以具有梯度锗百分比,其中外部比内部具有越来越高的锗百分比。
图11示出了在形成层间电介质(ILD)62之后的结构的立体图。ILD 62包括诸如氧化硅、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂的磷硅酸盐玻璃(BPSG)等的介电材料。可以实施CMP以使ILD 62的顶面与伪栅极堆叠件146和246(图10A)的顶面齐平。接下来,如图11所示,通过蚀刻步骤去除伪栅极堆叠件146和246,从而使得在ILD 62中形成凹槽164和264。凹槽164和264分别位于区域100和200中。因此,半导体鳍144和244的中间部分分别暴露于凹槽164和264。
图12A和图12B示出了替代栅极165和265的形成,替代栅极165和265包括栅极电介质166和266以及栅电极168和268。由此形成FinFET 170和270。图12A示出了立体图。图12B示出了从与FinFET 170和270的源极至漏极方向垂直的平面获得的截面图。如图12B所示,硅条124包括与替代栅极堆叠件165重叠的部分124-1(其为鳍144的部分)以及用作源极/漏极区154的内部的减薄的部分124-2。例如,部分124-1和124-2具有诸如硅的相同的IV族半导体元素的组分。此外,减薄的部分124-2的顶面低于未减薄的部分124-1的顶面。
SiGe条242包括与替代栅极堆叠件265重叠的部分242-1以及用作源极/漏极区254的内部的减薄的部分242-2。例如,部分242-1和242-2具有诸如硅和锗的相同的IV族半导体元素的组分,其中部分242-1中的锗百分比和硅百分比等于部分242-2中的相应的锗百分比和硅百分比。贯穿说明书,当两个区域称为具有相同的IV族半导体元素的组分时,这两个区域具有相同的硅百分比和相同的锗百分比。此外,减薄的部分242-2的顶面低于未减薄的部分242-1的顶面。图12B中也示出了硅化物区172和272以及源极/漏极接触插塞174和274。
图12C示出了FinFET 170和270的截面图,其中,横切替代栅极165和265并且在垂直于源极至漏极方向的方向上获得该截面图。如图12C所示,SiGeOx区132具有与硅条124重叠的一些部分。
本发明的实施例具有一些有利特征。源极/漏极区的形成包括减薄、但不完全去除半导体鳍的原始部分,以及然后在减薄的半导体鳍上外延生长外延区。这具有保持沟道区中的应变的有利特征。作为比较,如果在重新生长源极/漏极区之后完全去除半导体鳍的原始部分,则可以使应变松弛。另一方面,如果在生长外延区之前不减薄原始半导体鳍,则源极/漏极区的相应的n型或p型掺杂剂不能在整个源极/漏极区中有效地扩散。
根据本发明的一些实施例,一种器件包括半导体衬底以及延伸至半导体衬底内的隔离区。半导体鳍位于隔离区的相对部分之间,其中,半导体鳍位于隔离区的顶面上方。栅极堆叠件与半导体鳍重叠。源极/漏极区位于栅极堆叠件的侧部上并且连接至半导体鳍。源极/漏极区包括比半导体鳍薄的内部以及位于内部外侧的外部。半导体鳍和源极/漏极区的内部具有相同的IV族半导体的组分。
根据本发明的可选实施例,一种器件包括硅衬底、延伸至硅衬底内的隔离区以及p型FinFET。p型FinFET包括硅锗鳍,硅锗鳍包括中间部分和位于中间部分的相对两侧上的端部。中间部分的顶面高于端部的顶面。硅锗鳍具有第一锗百分比。p型FinFET还包括与硅锗鳍的中间部分重叠的栅极堆叠件以及源极/漏极区。源极/漏极区包括作为内部的硅锗鳍的端部的一个以及位于内部外侧的硅锗区。硅锗区具有高于第一锗百分比的第二锗百分比。
根据本发明的又可选实施例,一种方法包括:使半导体条的相对两侧上的隔离区凹进以形成半导体鳍,其中,半导体鳍位于隔离区的顶面上方;在半导体鳍的中间部分的顶面和侧壁上形成栅极堆叠件;减薄半导体鳍的端部;以及实施外延以在半导体鳍的减薄的端部上生长半导体区。半导体鳍的减薄的端部和半导体区组合形成FinFET的源极/漏极区。取决于FinFET的类型,半导体区包括硅磷或硅锗硼。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种器件,包括:
半导体衬底;
隔离区,延伸至所述半导体衬底内;
半导体鳍,位于所述隔离区的相对部分之间,其中,所述半导体鳍位于所述隔离区的顶面上方;
栅极堆叠件,与所述半导体鳍重叠;以及
源极/漏极区,位于所述栅极堆叠件的侧部上并且连接至所述半导体鳍,其中,所述源极/漏极区包括:
内部,比所述半导体鳍薄,其中,所述半导体鳍和所述源极/漏极区的所述内部具有相同的IV族半导体的组分;和
外部,位于所述内部外侧。
2.根据权利要求1所述的器件,其中,所述内部的顶面低于所述半导体鳍的顶面。
3.根据权利要求1所述的器件,其中,所述源极/漏极区的所述内部的第一宽度为所述半导体鳍的第二宽度的约50%至约70%,其中,在与包括所述源极/漏极区的鳍式场效应晶体管(FinFET)的源极至漏极方向垂直的方向上测量所述第一宽度和所述第二宽度。
4.根据权利要求1所述的器件,其中,所述栅极堆叠件和所述源极/漏极区包括在n型鳍式场效应晶体管(FinFET)中,并且所述内部包括硅且不含锗,并且其中,所述外部包括硅磷。
5.根据权利要求4所述的器件,还包括:
两个SiGeOx区,其中,所述SiGeOx区的内部与所述半导体鳍重叠。
6.根据权利要求5所述的器件,还包括:
硅锗区,位于所述两个SiGeOx区之间。
7.根据权利要求1所述的器件,其中,所述栅极堆叠件和所述源极/漏极区包括在p型鳍式场效应晶体管(FinFET)中,并且所述内部包括具有第一锗百分比的硅锗,并且所述外部包括具有大于所述第一锗百分比的第二锗百分比的硅锗。
8.根据权利要求7所述的器件,还包括:
硅层,位于所述源极/漏极区的所述内部下面;以及
附加硅锗层,位于所述硅层下面并且位于所述半导体衬底上面。
9.一种器件,包括:
硅衬底;
隔离区,延伸至所述硅衬底内;以及
p型鳍式场效应晶体管(FinFET),包括:
硅锗鳍,所述硅锗鳍包括中间部分和位于所述中间部分的相对两侧上的端部,其中,所述中间部分的顶面高于所述端部的顶面,并且其中,所述硅锗鳍具有第一锗百分比;
栅极堆叠件,与所述硅锗鳍的所述中间部分重叠;和
源极/漏极区,包括作为内部的所述硅锗鳍的所述端部中的一个以及位于所述内部外侧的硅锗区,其中,所述硅锗区具有高于所述第一锗百分比的第二锗百分比。
10.一种方法,包括:
使半导体条的相对两侧上的隔离区凹进以形成半导体鳍,其中,所述半导体鳍位于所述隔离区的顶面上方;
在所述半导体鳍的中间部分的顶面和侧壁上形成栅极堆叠件;
减薄所述半导体鳍的端部;以及
实施外延以在所述半导体鳍的减薄的端部上生长半导体区,其中,所述半导体鳍的所述减薄的端部和所述半导体区组合形成鳍式场效应晶体管(FinFET)的源极/漏极区。
CN201510172108.3A 2014-10-29 2015-04-13 具有源极/漏极覆盖层的FinFET Active CN106158958B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/527,660 2014-10-29
US14/527,660 US9941406B2 (en) 2014-08-05 2014-10-29 FinFETs with source/drain cladding

Publications (2)

Publication Number Publication Date
CN106158958A true CN106158958A (zh) 2016-11-23
CN106158958B CN106158958B (zh) 2019-07-19

Family

ID=55753500

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510172108.3A Active CN106158958B (zh) 2014-10-29 2015-04-13 具有源极/漏极覆盖层的FinFET

Country Status (5)

Country Link
US (4) US9941406B2 (zh)
KR (1) KR101745771B1 (zh)
CN (1) CN106158958B (zh)
DE (1) DE102014119642B4 (zh)
TW (1) TWI604607B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109216460A (zh) * 2017-06-29 2019-01-15 三星电子株式会社 具有鳍结构的半导体器件
CN113284943A (zh) * 2020-02-19 2021-08-20 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9847333B2 (en) * 2015-03-09 2017-12-19 Globalfoundries Inc. Reducing risk of punch-through in FinFET semiconductor structure
KR102330757B1 (ko) * 2015-03-30 2021-11-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN106252392B (zh) * 2015-06-09 2020-08-18 联华电子股份有限公司 半导体元件及其制作方法
US9548361B1 (en) * 2015-06-30 2017-01-17 Stmicroelectronics, Inc. Method of using a sacrificial gate structure to make a metal gate FinFET transistor
US9922978B2 (en) 2015-08-21 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with recessed source/drain structure and method for forming the same
US9679899B2 (en) 2015-08-24 2017-06-13 Stmicroelectronics, Inc. Co-integration of tensile silicon and compressive silicon germanium
US9786782B2 (en) * 2015-10-23 2017-10-10 International Business Machines Corporation Source/drain FinFET channel stressor structure
US10796924B2 (en) * 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US10276718B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a relaxation prevention anchor
US11430787B2 (en) 2017-09-26 2022-08-30 Intel Corporation Forming crystalline source/drain contacts on semiconductor devices
US10510894B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US11094597B2 (en) * 2018-09-28 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
DE102019111297B4 (de) * 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR20200141142A (ko) 2019-06-10 2020-12-18 삼성전자주식회사 반도체 장치
KR20210033102A (ko) * 2019-09-17 2021-03-26 삼성전자주식회사 반도체 소자
KR20210056154A (ko) 2019-11-08 2021-05-18 삼성전자주식회사 액티브 패턴 구조물 및 이를 포함하는 반도체 장치
US11652105B2 (en) * 2020-07-22 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxy regions with large landing areas for contact plugs

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130341638A1 (en) * 2012-06-22 2013-12-26 Chin-I Liao Multi-gate field-effect transistor and process thereof
US20140001520A1 (en) * 2012-06-29 2014-01-02 Glenn A. Glass Contact resistance reduced p-mos transistors employing ge-rich contact layer
CN103928518A (zh) * 2013-01-14 2014-07-16 台湾积体电路制造股份有限公司 FinFET器件及其制造方法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US6882025B2 (en) 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
KR100487566B1 (ko) 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
KR100521384B1 (ko) * 2003-11-17 2005-10-12 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR100550343B1 (ko) * 2003-11-21 2006-02-08 삼성전자주식회사 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7485520B2 (en) 2007-07-05 2009-02-03 International Business Machines Corporation Method of manufacturing a body-contacted finfet
JP2009032955A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
JP5285947B2 (ja) * 2008-04-11 2013-09-11 株式会社東芝 半導体装置、およびその製造方法
US8048723B2 (en) * 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
JP2010103142A (ja) * 2008-10-21 2010-05-06 Toshiba Corp 半導体装置の製造方法
US8263462B2 (en) * 2008-12-31 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric punch-through stoppers for forming FinFETs having dual fin heights
US8298925B2 (en) * 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8460172B2 (en) * 2010-07-29 2013-06-11 Medtronic, Inc. Tissue stabilizing device and methods including a self-expandable head-link assembly
US8551829B2 (en) 2010-11-10 2013-10-08 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8492235B2 (en) * 2010-12-29 2013-07-23 Globalfoundries Singapore Pte. Ltd. FinFET with stressors
US20120265319A1 (en) 2011-04-13 2012-10-18 Total Joint Orthopedics Total hip arthroplasty
KR101850703B1 (ko) 2011-05-17 2018-04-23 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8455307B2 (en) * 2011-05-19 2013-06-04 GlobalFoundries, Inc. FINFET integrated circuits and methods for their fabrication
JP2013183085A (ja) * 2012-03-02 2013-09-12 Toshiba Corp 半導体装置の製造方法
US8853037B2 (en) * 2012-03-14 2014-10-07 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US9368388B2 (en) 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9041116B2 (en) * 2012-05-23 2015-05-26 International Business Machines Corporation Structure and method to modulate threshold voltage for high-K metal gate field effect transistors (FETs)
US9583398B2 (en) 2012-06-29 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having FinFETS with different fin profiles
US9006065B2 (en) * 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US9012287B2 (en) 2012-11-14 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout for SRAM FinFET transistors
US8815691B2 (en) * 2012-12-21 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate all around device
US9318606B2 (en) 2013-01-14 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same
US9006786B2 (en) 2013-07-03 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US8901607B2 (en) 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9306069B2 (en) 2013-09-11 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of fin field effect transistor
US9735255B2 (en) * 2013-01-18 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a finFET device including a stem region of a fin element
KR102038486B1 (ko) * 2013-04-09 2019-10-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102099195B1 (ko) * 2013-09-27 2020-04-09 인텔 코포레이션 다층형 순응성 기판들을 갖는 비-평면형 반도체 디바이스들
US9196522B2 (en) 2013-10-16 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with buried insulator layer and method for forming
US9159833B2 (en) 2013-11-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9099570B2 (en) * 2013-12-05 2015-08-04 Stmicroelectronics, Inc. Method for the formation of dielectric isolated fin structures for use, for example, in FinFET devices
US9660080B2 (en) * 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
CN105336587B (zh) * 2014-06-17 2018-05-15 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US9209185B2 (en) * 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US9178067B1 (en) 2014-04-25 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9502565B2 (en) 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9570360B2 (en) * 2014-08-27 2017-02-14 International Business Machines Corporation Dual channel material for finFET for high performance CMOS
US9583598B2 (en) * 2014-10-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US10720527B2 (en) * 2018-01-03 2020-07-21 International Business Machines Corporation Transistor having an oxide-isolated strained channel fin on a bulk substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130341638A1 (en) * 2012-06-22 2013-12-26 Chin-I Liao Multi-gate field-effect transistor and process thereof
US20140001520A1 (en) * 2012-06-29 2014-01-02 Glenn A. Glass Contact resistance reduced p-mos transistors employing ge-rich contact layer
CN103928518A (zh) * 2013-01-14 2014-07-16 台湾积体电路制造股份有限公司 FinFET器件及其制造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109216460A (zh) * 2017-06-29 2019-01-15 三星电子株式会社 具有鳍结构的半导体器件
CN109216460B (zh) * 2017-06-29 2022-06-21 三星电子株式会社 具有鳍结构的半导体器件
CN113284943A (zh) * 2020-02-19 2021-08-20 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
DE102014119642A1 (de) 2016-05-04
TWI604607B (zh) 2017-11-01
US20190237572A1 (en) 2019-08-01
US10868186B2 (en) 2020-12-15
US20180012989A1 (en) 2018-01-11
US10269964B2 (en) 2019-04-23
US10707349B2 (en) 2020-07-07
US20160126343A1 (en) 2016-05-05
US20200321461A1 (en) 2020-10-08
US9941406B2 (en) 2018-04-10
TW201616649A (zh) 2016-05-01
CN106158958B (zh) 2019-07-19
KR20160051512A (ko) 2016-05-11
KR101745771B1 (ko) 2017-06-12
DE102014119642B4 (de) 2018-01-11

Similar Documents

Publication Publication Date Title
CN106158958A (zh) 具有源极/漏极覆盖层的FinFET
CN105895694B (zh) 堆叠的全环栅FinFET及其形成方法
US20210391420A1 (en) Fin recess last process for finfet fabrication
US10978355B2 (en) Multi-gate devices with replaced-channels and methods for forming the same
US10170375B2 (en) FinFET devices with unique fin shape and the fabrication thereof
CN104218083B (zh) 半导体器件以及形成半导体器件的方法
US11171003B2 (en) Doping through diffusion and epitaxy profile shaping
CN103579176B (zh) 半导体器件的接触结构
TWI509736B (zh) 半導體結構及其形成方法
KR101638532B1 (ko) 트렌치 격리를 가지는 핀 반도체 디바이스들을 형성하는 방법
US10062581B2 (en) Methods of forming an isolation structure and methods of manufacturing a semiconductor device including the same
TW201643946A (zh) 用於finfet的環繞矽化物
CN105895693A (zh) 具有包裹环绕的硅化物的FinFET及其形成方法
CN105097649B (zh) 半导体结构的形成方法
TWI807104B (zh) 半導體裝置及其製造方法
CN105702727A (zh) 金属氧化物半导体装置与其形成方法
CN106328540B (zh) 半导体器件结构及其形成方法
TW201624700A (zh) 半導體元件及其製造方法
US9337259B2 (en) Structure and method to improve ETSOI MOSFETS with back gate
CN107464741A (zh) 一种半导体器件及其制造方法、电子装置
US11545559B2 (en) Semiconductor device and method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant