CN103579176B - 半导体器件的接触结构 - Google Patents

半导体器件的接触结构 Download PDF

Info

Publication number
CN103579176B
CN103579176B CN201210424958.4A CN201210424958A CN103579176B CN 103579176 B CN103579176 B CN 103579176B CN 201210424958 A CN201210424958 A CN 201210424958A CN 103579176 B CN103579176 B CN 103579176B
Authority
CN
China
Prior art keywords
strain gauge
dielectric layer
semiconductor device
gauge material
layer containing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210424958.4A
Other languages
English (en)
Other versions
CN103579176A (zh
Inventor
万幸仁
叶凌彦
施启元
陈彦友
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103579176A publication Critical patent/CN103579176A/zh
Application granted granted Critical
Publication of CN103579176B publication Critical patent/CN103579176B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明涉及半导体器件。一种用于半导体器件的接触结构的示例性结构包括:衬底,该衬底包括主表面和主表面之下的腔;腔中的应变材料,其中应变材料的晶格常数不同于衬底的晶格常数;位于应变材料上方的含Ge介电层;以及位于含Ge介电层上方的金属层。

Description

半导体器件的接触结构
技术领域
本发明涉及集成电路制造,具体来说,指具有接触结构的半导体器件。
背景技术
在追求更高的器件密度、更高的性能以及更低的成本的过程中,随着半导体产业已经发展到纳米技术工艺节点,来自制造和设计问题两方面的挑战引起三维设计诸如鳍状场效应晶体管(FinFET)的发展。示例性的FinFET是用从衬底延伸的薄的垂直“鳍”(或鳍结构)(例如通过蚀刻掉衬底的硅层的一部分而形成)制造的。在该垂直鳍中形成FinFET的沟道。栅极形成(例如覆盖)在鳍上方。沟道的两侧都具有栅极允许从两侧对沟道栅极控制。此外,利用选择性生长的硅锗(SiGe)的FinFET的源极/漏极(S/D)部分中的应变材料可以用于提高载流子移动率。
然而,在互补金属氧化物半导体(CMOS)制造中实施这些部件和工艺存在挑战。例如,应变材料上的硅化物的形成引起FinFET的源极/漏极区的高接触电阻,从而使器件性能退化。
发明内容
为了解决现有技术中存在的问题,根据本发明的一个方面,提供了一种用于半导体器件的接触结构,包括:衬底,包括主表面和位于所述主表面之下的腔;所述腔中的应变材料,其中所述应变材料的晶格常数不同于所述衬底的晶格常数;含Ge介电层,位于所述应变材料上方;以及金属层,位于所述含Ge介电层上方。
在上述接触结构中,其中,所述应变材料包含SiGe或SiGeB。
在上述接触结构中,其中,所述含Ge介电层具有介于约1nm至约10nm范围内的厚度。
在上述接触结构中,其中,所述含Ge介电层包含GeNx
在上述接触结构中,其中,所述含Ge介电层包含GeOx或GeOxNy
在上述接触结构中,其中,所述金属层包含Co、Ni或TiN。
在上述接触结构中,其中,所述金属层具有介于约5nm至约10nm范围内的厚度。
根据本发明的另一方面,还提供了一种p型金属氧化物半导体场效应晶体管(pMOSFET),包括:衬底,包括主表面和位于所述主表面之下的腔;栅极堆叠件,位于所述衬底的主表面上;浅沟槽隔离(STI)区,设置在所述栅极堆叠件的一侧上,其中所述STI区位于所述衬底内;以及接触结构,分布在所述栅极堆叠件和所述STI区之间,其中所述接触结构包括:所述腔中的应变材料,其中所述应变材料的晶格常数不同于所述衬底的晶格常数;位于所述应变材料上方的含Ge介电层;以及位于所述含Ge介电层上方的金属层。
在上述pMOSFET中,其中,所述应变材料包含SiGe或SiGeB。
在上述pMOSFET中,其中,所述含Ge介电层具有介于约1nm至约10nm范围内的厚度。
在上述pMOSFET中,其中,所述含Ge介电层包含GeNx
在上述pMOSFET中,其中,所述含Ge介电层包含GeOx或GeOxNy
在上述pMOSFET中,其中,所述金属层包含Co、Ni或TiN。
在上述pMOSFET中,其中,所述金属层具有介于约5nm至约10nm范围内的厚度。
根据本发明的又一方面,还提供了一种制造半导体器件的方法,包括:提供衬底,所述衬底包括主表面和位于所述主表面之下的腔;在所述腔中外延生长应变材料,其中所述应变材料的晶格常数不同于所述衬底的晶格常数;在所述应变材料上方外延生长Ge层;处理所述Ge层以在所述应变材料上方形成含Ge介电层;以及在所述含Ge介电层上方形成金属层。
在上述方法中,还包括:在所述应变材料上方外延生长所述Ge层之前修剪所述应变材料。
在上述方法中,还包括:在所述应变材料上方外延生长所述Ge层之前修剪所述应变材料,其中,使用HCl作为蚀刻气体执行修剪所述应变材料的步骤。
在上述方法中,其中,通过将所述Ge层暴露于包含N2、NH3、H2O、O2或O3的蒸汽来执行处理所述Ge层的步骤。
在上述方法中,其中,通过等离子体掺杂或离子注入执行处理所述Ge层的步骤。
在上述方法中,其中,通过CVD、ALD或溅射执行在所述介电层上方形成金属层的步骤。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制并且仅仅用于说明的目的。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1是根据本发明的各方面示出制造半导体器件的接触结构的方法的流程图;
图2A-12是根据本发明的各方面的处于各个制造阶段的包含接触结构的半导体器件的透视图和截面图。
具体实施方式
应当了解,为了实施本发明的不同部件,以下公开内容提供了许多不同的实施例或实例。在下面描述元件和布置的特定实例以简化本发明。当然这些仅仅是实例并不打算限定。再者,在下面的描述中第一部件在第二部件上或者上方的形成可以包括其中第一和第二部件以直接接触形成的实施例,并且也可以包括其中可以形成介入第一和第二部件中的额外的部件,使得第一和第二部件不直接接触的实施例。此外,在各个实例中本发明可能重复参考编号/或字母。该重复是为了简明和清楚的目的并且它本身并不表示所论述的各个实施例和/或配置之间的关系。
参考图1,示出根据本发明的各方面制造半导体器件的接触结构的方法100的流程图。方法100开始于步骤102,其中提供衬底,该衬底包括主要表面和主要表面下方的腔。方法100继续步骤104,其中在腔中外延生长应变材料,其中应变材料的晶格常数不同于衬底的晶格常数。方法100继续步骤106,其中在应变材料上方外延生长Ge层。方法100继续步骤108,其中处理Ge层以在应变材料上方形成含Ge的介电层。方法100继续步骤110,其中在含Ge的介电层上方形成金属层。以下论述示出根据图1的方法100可制造的半导体器件的实施例。
图2A-图12是根据本发明的各种实施例的处于制造的各个阶段的包含接触结构230的半导体器件200的透视图和截面图。诸如本文中所描述的那些实施例涉及鳍状场效应晶体管(FinFET)。该FinFET指任何以鳍为基础的多栅极晶体管。在一些可选的实施例中,诸如本文中所描述的那些实施例涉及平面金属氧化物半导体场效应晶体管(平面MOSFET)。半导体器件200可以被包括在微处理器、存储单元和/或其他集成电路(IC)中。
需要注意的是,在一些实施例中,图1中提到的步骤的实施并不产生完整的半导体器件200。可以使用互补金属氧化物半导体(CMOS)工艺流程制造完整的半导体器件200。因此,应该理解,可以在图1的方法100之前、期间和/或之后提供额外的工艺,并且本文中仅简略地描述一些其他的实施例。而且,为了更好地理解本发明的构思,对图2A至图12作了简化。例如,尽管附图示出半导体器件200,但应该理解,IC可以包括若干其他的器件,这些器件包含电阻器、电容器、电感器、保险丝等。
参考图2A和图2B以及图1的步骤102,提供衬底202。图2A是根据实施例处于制造的其中一个阶段的具有衬底202的半导体器件200的透视图,并且图2B是沿着图2A的线a-a获得的半导体器件200的截面图。在至少一个实施例中,衬底202包括晶体硅衬底(例如,晶圆)。取决于设计要求(例如,p型衬底或n型衬底),衬底202可以包括各种掺杂区。在一些实施例中,掺杂区可以掺杂p型或n型掺杂物。例如,掺杂区可以掺杂诸如硼或BF2的p型掺杂物;诸如磷或砷的n型掺杂物,和/或它们的组合。可以配置掺杂区用于n型MOSFET(nMOSFET),或可选地配置用于p型MOSFET(pMOSFET)。
在一些可选的实施例中,衬底202可以由诸如金刚石或锗的一些其他合适的元素半导体;诸如砷化镓、碳化硅、砷化铟或磷化铟的合适的化合物半导体;或诸如碳化硅锗、磷化砷镓或磷化铟镓的合适的合金半导体制成。而且衬底202可以包括外延层(epi层)(可以应变用于性能提高),和/或可以包括绝缘体上硅(SOI)结构。
在一个实施例中,垫层204a和掩模层204b形成在半导体衬底202的主要表面202s上。垫层204a可以是薄膜,该薄膜包括例如使用热氧化工艺形成的氧化硅。垫层204a可以充当半导体衬底202和掩模层204b之间的粘附层。垫层204a还可以充当用于蚀刻掩模层204b的蚀刻终止层。在实施例中,掩模层204b由氮化硅形成,例如使用低压化学汽相沉积(LPCVD)或等离子体增强化学汽相沉积(PECVD)。掩模层204b在后续的光刻工艺期间用作硬掩模。感光层206形成在掩模层204b上,然后被图案化,从而在感光层206中形成开口208。
参考图3A和图3B,在感光层206中形成开口208后,通过在衬底202中形成多个鳍212来制造图3A和图3B中的结构。图3A是根据实施例的处于制造的其中一个阶段的半导体器件200的透视图,并且图3B是沿着图3A的线a-a获得的半导体器件200的截面图。通过开口208蚀刻掩模层204b和垫层204a以暴露下面的半导体衬底202。然后蚀刻暴露的半导体衬底202以形成低于半导体衬底202的主表面202s的沟槽210。沟槽210之间的半导体衬底202的部分形成半导体鳍212。
在所描述的实施例中,半导体鳍212从衬底主表面202s向下延伸至第一高度H1。沟槽210可以是相互平行的带(从半导体200的顶部观察),并且彼此紧密间隔。每一个沟槽210都具有宽度W,第一高度H1,并且通过间距S与相邻的沟槽隔开。例如,沟槽210之间的间距S可以小于约30nm。然后去除感光层206。接下来,可以实施清洗以去除半导体衬底202的自然氧化物。可以使用稀释的氢氟(DHF)酸实施清洗。
在一些实施例中,沟槽210的第一高度H1可以介于约2100埃至约2500埃的范围内,而沟槽210的宽度W可以介于约300埃至约1500埃的范围内。在示例性实施例中,沟槽210的纵横比(H/W)大于约7.0。在一些其他的实施例中,纵横比还可以大于约8.0。在另一些实施例中,纵横比小于约7.0或者介于约7.0至8.0之间。然而,本领域的普通技术人员将认识到,整个说明书中所引用的尺寸和数值仅是实例,并且可以被更改以适合集成电路的不同比例。
然后在沟槽210中可选地形成衬垫氧化物(未示出)。在实施例中,衬垫氧化物可以是厚度介于约20埃至约500埃范围内的热氧化物。在一些实施例中,可以使用原位蒸汽产生(ISSG)等形成衬垫氧化物。衬垫氧化物的形成使沟槽210圆角化,这减小了电场,因此提高了所形成的集成电路的性能。
图4A是根据实施例的处于制造的其中一个阶段的半导体器件200的透视图,图4B是沿着图4A的线a-a获得的半导体器件200的截面图。用介电材料214填充沟槽210。介电材料214可以包括氧化硅,因此本发明中也称为氧化物214。在一些实施例中,还可以使用诸如氮化硅、氮氧化硅、氟掺杂的硅酸盐玻璃(FSG)或低k介电材料的其他介电材料。在实施例中,可以使用高密度等离子体(HDP)CVD工艺用硅烷(SiH4)和氧气(O2)作为反应前体形成氧化物214。在其他的实施例中,可以使用次大气压CVD(SACVD)工艺或高纵横比工艺(HARP)形成氧化物214,其中工艺气体可以包括正硅酸乙酯(TEOS)和臭氧(O3)。在其他的实施例中,可以使用旋涂电介质(SOD)工艺诸如氢硅倍半氧烷(HSQ)或甲基硅倍半氧烷(MSQ)形成氧化物214。
图4A和图4B描述介电材料214沉积之后所形成的结构。然后实施化学机械抛光,之后去除掩模层204b和垫层204a。图5A和图5B示出形成的结构。图5A是根据实施例的处于制造的其中一个阶段的半导体器件200的透视图,并且图5B是沿着图5A的线a-a获得的半导体器件200的截面图。沟槽210中的氧化物214的余留部分在下文中被称为绝缘层216。在一个实施例中,掩模层204b由氮化硅形成,并且可以使用湿式工艺利用热H3PO4去除掩模层204b;如果垫层204a由氧化硅形成,则可以使用稀释的HF酸去除垫层204a。在一些可选的实施例中,可以在凹进绝缘层216后实施掩模层204b和垫层204a的去除,图6A和图6B示出凹进步骤。
如图6A和图6B所示,在去除掩模层204b和垫层204a之后,通过蚀刻步骤凹进绝缘层216,形成凹槽218和包括顶面216t的剩余绝缘层216a。图6A是根据实施例的处于其中一个阶段的半导体器件200的透视图,图6B是沿着图6A的线a-a获得的半导体器件200的截面图。在一个实施例中,可以使用湿式蚀刻工艺例如通过在氢氟酸(HF)中浸渍衬底202实施蚀刻步骤。在另一实施例中,可以使用干式蚀刻工艺实施蚀刻步骤,例如可以使用CHF3或BF3作为蚀刻气体实施干式蚀刻工艺。
在所描述的实施例中,鳍212的上部222从衬底主表面202s向下延伸到顶面216t至小于第一高度H1的第二高度H2,从而鳍212的上部222延伸超出绝缘层216的顶面216t。在一个实施例中,第二高度H2与第一高度H1的比值介于约0.2至约0.5之间。鳍212的上部222的第二高度H2可以介于约15nm和约50nm之间,但也可以大于或小于这个值。在所描述的实施例中,鳍212的上部222可以包括沟道部分222a和源极/漏极(S/D)部分222b。沟道部分222a用于形成半导体器件200的沟道区。
图7A是根据实施例的处于制造的其中一个阶段的半导体器件200的透视图,并且图7B是沿着图7A的线a-a获得的半导体器件200的截面图。栅极堆叠件220形成在鳍212的上部222的沟道部分222a的上方,并且延伸至绝缘层216a的顶面216t。在一些实施例中,栅极堆叠件220通常包括栅极介电层220a和位于栅极介电层220a上方的栅极电极层220b。
在图7A和图7B中,形成栅极介电层220a以覆盖鳍212的上部222的沟道部分222a。在一些实施例中,栅极介电层220a可以包括氧化硅、氮化硅、氮氧化硅或高k电介质。高k电介质包括金属氧化物。用于高k电介质的金属氧化物的实例包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物以及它们的混合物。在本实施例中,栅极介电层220a是厚度介于约10埃至约30埃范围内的高k介电层。可以使用合适的工艺诸如原子层沉积(ALD)、化学汽相沉积(CVD)、物理汽相沉积(PVD)、热氧化、UV臭氧氧化或它们的组合形成栅极介电层220a。栅极介电层220a还可以包括界面层(未示出)以减小栅极介电层220a和鳍212的上部222的沟道部分222a之间的损坏。界面层可以包括氧化硅。
然后在栅极介电层220a上形成栅极电极层220b。在一个实施例中,栅极电极层220b覆盖一个以上的半导体鳍212的上部222,从而使得形成的半导体器件200包括一个以上的鳍。在一些可选的实施例中,半导体鳍212的上部222的每一个都可以用于形成单独的半导体器件200。在一些实施例中,栅极电极层220b可以包括单层或多层结构。在本实施例中,栅极电极层220b可以包括多晶硅。而且,栅极电极层220b可以均匀或者非均匀掺杂多晶硅。在一些可选的实施例中,栅极电极层220b可以包括金属诸如Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlN、TaN、NiSi、CoSi、功函数与衬底材料兼容的其他导电材料,或它们的组合。在本实施例中,栅极电极层220b包括介于约30nm至约60nm范围内的厚度。可以使用合适的工艺诸如ALD、CVD、PVD、电镀或它们的组合形成栅极电极层220b。
仍参考图7A,半导体器件200还包括在衬底202上方并且沿着栅极堆叠件220的侧面形成的介电层224。在一些实施例中,介电层224可以包括氧化硅、氮化硅、氮氧化硅或其他合适的材料。介电层224可以包括单层或多层结构。可以通过CVD、PVD、ALD或其他合适的方法形成介电层224的覆盖层。然后,在介电层224上实施各向异性蚀刻以在栅极堆叠件220的两侧形成一对间隔件。介电层224包括介于约5nm至15nm范围内的厚度。
图8A是根据实施例的处于其中一个制造阶段的半导体器件200的透视图,并且图8B是沿着图8A的线b-b获得的半导体器件200的截面图。栅极堆叠件220和介电层224用作硬掩模,实施偏蚀刻工艺凹进鳍212的上部222的S/D部分222b(未保护的或暴露的)以形成位于主表面202s之下的S/D腔228。在一个实施例中,可以使用选自NF3、CF4和SF6的化学物质用作蚀刻气体来实施蚀刻工艺。在可选的实施例中,可以使用包含NH4OH和H2O2的溶液来实施蚀刻工艺。
参考图9A和图9B以及图1中步骤104,在S/D部分222b中形成S/D腔228之后,通过在S/D腔228中外延生长应变材料226来制造图9A和图9B中的结构,其中应变材料226的晶格常数不同于衬底202的晶格常数。图9A是根据实施例的处于制造的其中一个阶段的半导体器件200的透视图,并且图9B是沿着图9A的线b-b获得的半导体器件200的截面图。在所描述的实施例中,应变材料226的顶面226t高于顶面216t。在一些实施例中,应变材料226包括用于p型金属氧化物半导体场效应晶体管(pMOSFET)的SiGe或SiGeB。
在所描述的实施例中,可以实施预清洗工艺从而用HF或其他合适的溶液清洗S/D腔228。然后,通过LPCVD工艺选择性生长诸如硅锗(SiGe)的应变材料226以填充S/D腔228。在一个实施例中,在约660℃至700℃的温度以及约13托至50托的压力下,使用SiH2Cl2、HCl、GeH4、B2H6和H2用作反应气体来实施LPCVD工艺。
工艺步骤到目前为止已经提供了具有在S/D腔228中的应变材料226的衬底202。按照惯例,可以通过覆盖沉积金属材料(诸如镍、钛、钴和它们的组合)薄层形成位于应变材料226上方的硅化物区。然后加热衬底202,这引起硅与所接触的金属反应。反应后,在含硅材料和金属之间形成金属硅化物层。通过使用侵蚀金属材料但不侵蚀硅化物的蚀刻剂选择性地去除未反应的金属。然而,金属硅化物和应变材料226之间的费米能级钉扎效应导致固定的肖特基势垒高度(SBH)。这种固定的SBH引起半导体器件的S/D区的高接触电阻并且因此使器件性能退化。
因此,以下参考图10至图12论述的工艺可以形成包括含Ge介电层的接触结构以取代硅化物区。含Ge介电层可以充当低电阻中间层以取代高电阻金属硅化物。同样地,接触结构可以提供半导体器件的S/D区的低接触电阻,从而提高器件性能。
如图10以及图1中步骤106所描述,为了制造半导体器件200的接触结构(诸如图12中示出的接触结构230),通过在应变材料226上方外延生长Ge层232来制造图10中的结构。图10是根据实施例的处于制造的其中一个阶段的沿着图9A的线b-b获得的半导体器件200的截面图。在一些实施例中,Ge层232具有介于约1nm至约10nm范围的厚度。在一些实施例中,制造接触结构230的步骤还包括在外延生长Ge层232之前修减应变材料226以避免相邻的Ge层232之间的融合。在一些实施例中,使用HCl用作蚀刻气体实施修剪应变材料226的步骤。
在一个实施例中,可以在约10毫托至100毫托的压力、约350℃至450℃的温度下使用GeH4、GeH3CH3和/或(GeH3)2CH2作为外延气体来实施Ge外延工艺。可选地,在外延工艺之后,在约550℃至750℃的温度下实施退火工艺以限制应变材料226和Ge外延层232的界面上的位错缺陷。
图11是根据实施例的处于制造的其中一个阶段的半导体器件200沿着图9A的线b-b获得的截面图。然后,通过处理240处理Ge层232以形成位于应变材料226上方的含Ge介电层234(图1中的步骤108)来制造图11中所描述的结构。在一些实施例中,含Ge介电层234包括GeNx,GeOx或GeOxNy。在一些实施例中,含Ge介电层234具有介于约1nm至约10nm范围内的第一厚度t1
在一些实施例中,通过热氮化或热氧化(将Ge层232的表面暴露于包含N2、NH3、H2O、O2或O3的蒸汽)执行处理240步骤处理Ge层232以形成应变材料226上方的含Ge介电层234。在一些实施例中,通过等离子体掺杂或离子注入使用N2和/或O2作为掺杂气体来执行处理240步骤从而处理Ge层232以形成应变材料226上方的含Ge介电层234。掺杂浓度介于约1015至约1022原子/cm3。然后,通过退火处理衬底202以将掺杂Ge层232转变为含Ge介电层234来制造图11所描述的结构。在所描述的实施例中,含Ge介电层234可以降低固定的SBH并且充当低电阻层以取代高电阻金属硅化物,从而提高器件性能。
图12是根据实施例的处于制造的其中一个阶段的半导体器件200沿着图9A的线b-b获得的截面图。参考图12,在形成含Ge介电层234之后,在含Ge介电层234上方形成具有介于约5nm至约10nm范围内的第二厚度t2的第一金属层236(图1中的步骤110)。在一些实施例中,第一金属层236包括Co、Ni或TiN。可以通过CVD、ALD或溅射形成第一金属层236。在所描述的实施例中,第一金属层236、含Ge介电层234、应变材料226以及衬底202被合并且被称为半导体器件200的接触结构230。
然后,第二金属层238形成在第一金属层236上方。在所描述的实施例中,第二金属层238包括Al、Cu或W。在一些实施例中,可以通过CVD、PVD、ALD或其他合适的方法形成第二金属层238。在执行图1示出的步骤之后(如关于图2A至图12所示的实例所作出的进一步阐述),通常实施后续的工艺(包括互连工艺)以完成半导体器件200的制造。
根据一个实施例,用于半导体器件的接触结构包括衬底,该衬底包括主表面和位于主表面之下的腔;位于腔中的应变材料,其中应变材料的晶格常数不同于衬底的晶格常数;应变材料上方的含Ge介电层以及含Ge介电层上方的金属层。
根据另一实施例,p型金属氧化物半导体场效应晶体管(pMOSFET)包括衬底,该衬底包括主表面和位于主表面之下的腔;位于衬底的主表面上的栅极堆叠件;设置在栅极堆叠件一侧的浅沟槽隔离(STI)区,其中STI区位于衬底内;分布在栅极堆叠件和STI区之间的接触结构,其中接触结构包括位于腔中的应变材料,其中应变材料的晶格常数不同于衬底的晶格常数;应变材料上方的含Ge介电层;以及含Ge介电层上方的金属层。
根据另一实施例,一种制造半导体器件的方法包括:提供衬底,该衬底包括主表面和位于主表面之下的腔;在腔中外延生长应变材料,其中应变材料的晶格常数不同于衬底的晶格常数;在应变材料上方外延生长Ge层;处理Ge层以在应变材料上方形成含Ge介电层;以及在含Ge介电层上方形成金属层。
虽然通过实例和根据优选的实施例描述了本发明,但是应理解本发明不限于公开的实施例。相反,本发明意图涵盖各种修改和相似的布置(对本领域的技术人员来说显而易见的)。因此,所附权利要求的范围应与最广泛的解释一致以涵盖所有这些改进和相似的布置。

Claims (20)

1.一种用于半导体器件的接触结构,包括:
衬底,包括主表面和位于所述主表面之下的腔;
所述腔中的应变材料,其中所述应变材料的晶格常数不同于所述衬底的晶格常数;
含Ge介电层,位于所述应变材料上方,所述含Ge介电层具有均匀厚度;以及
金属层,位于所述含Ge介电层上方。
2.根据权利要求1所述的用于半导体器件的接触结构,其中,所述应变材料包含SiGe或SiGeB。
3.根据权利要求1所述的用于半导体器件的接触结构,其中,所述含Ge介电层具有介于1nm至10nm范围内的厚度。
4.根据权利要求1所述的用于半导体器件的接触结构,其中,所述含Ge介电层包含GeNx
5.根据权利要求1所述的用于半导体器件的接触结构,其中,所述含Ge介电层包含GeOx或GeOxNy
6.根据权利要求1所述的用于半导体器件的接触结构,其中,所述金属层包含Co、Ni或TiN。
7.根据权利要求1所述的用于半导体器件的接触结构,其中,所述金属层具有介于5nm至10nm范围内的厚度。
8.一种p型金属氧化物半导体场效应晶体管(pMOSFET),包括:
衬底,包括主表面和位于所述主表面之下的腔;
栅极堆叠件,位于所述衬底的主表面上;
浅沟槽隔离(STI)区,设置在所述栅极堆叠件的一侧上,其中所述浅沟槽隔离区位于所述衬底内;以及
接触结构,分布在所述栅极堆叠件和所述浅沟槽隔离区之间,其中所述接触结构包括:
所述腔中的应变材料,其中所述应变材料的晶格常数不同于所述衬底的晶格常数;
位于所述应变材料上方的含Ge介电层,所述含Ge介电层具有均匀厚度;以及
位于所述含Ge介电层上方的金属层。
9.根据权利要求8所述的p型金属氧化物半导体场效应晶体管,其中,所述应变材料包含SiGe或SiGeB。
10.根据权利要求8所述的p型金属氧化物半导体场效应晶体管,其中,所述含Ge介电层具有介于1nm至10nm范围内的厚度。
11.根据权利要求8所述的p型金属氧化物半导体场效应晶体管,其中,所述含Ge介电层包含GeNx
12.根据权利要求8所述的p型金属氧化物半导体场效应晶体管,其中,所述含Ge介电层包含GeOx或GeOxNy
13.根据权利要求8所述的p型金属氧化物半导体场效应晶体管,其中,所述金属层包含Co、Ni或TiN。
14.根据权利要求8所述的p型金属氧化物半导体场效应晶体管,其中,所述金属层具有介于5nm至10nm范围内的厚度。
15.一种制造半导体器件的方法,包括:
提供衬底,所述衬底包括主表面和位于所述主表面之下的腔;
在所述腔中外延生长应变材料,其中所述应变材料的晶格常数不同于所述衬底的晶格常数;
在所述应变材料上方外延生长Ge层;
处理所述Ge层以在所述应变材料上方形成含Ge介电层,所述含Ge介电层具有均匀厚度;以及
在所述含Ge介电层上方形成金属层。
16.根据权利要求15所述的制造半导体器件的方法,还包括:
在所述应变材料上方外延生长所述Ge层之前修剪所述应变材料。
17.根据权利要求16所述的制造半导体器件的方法,其中,使用HCl作为蚀刻气体执行修剪所述应变材料的步骤。
18.根据权利要求15所述的制造半导体器件的方法,其中,通过将所述Ge层暴露于包含N2、NH3、H2O、O2或O3的蒸汽来执行处理所述Ge层的步骤。
19.根据权利要求15所述的制造半导体器件的方法,其中,通过等离子体掺杂或离子注入执行处理所述Ge层的步骤。
20.根据权利要求15所述的制造半导体器件的方法,其中,通过CVD、ALD或溅射执行在所述介电层上方形成金属层的步骤。
CN201210424958.4A 2012-08-09 2012-10-30 半导体器件的接触结构 Active CN103579176B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/571,201 2012-08-09
US13/571,201 US9136383B2 (en) 2012-08-09 2012-08-09 Contact structure of semiconductor device

Publications (2)

Publication Number Publication Date
CN103579176A CN103579176A (zh) 2014-02-12
CN103579176B true CN103579176B (zh) 2017-04-12

Family

ID=49044238

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210424958.4A Active CN103579176B (zh) 2012-08-09 2012-10-30 半导体器件的接触结构

Country Status (5)

Country Link
US (3) US9136383B2 (zh)
KR (1) KR101511413B1 (zh)
CN (1) CN103579176B (zh)
DE (1) DE102012110642B3 (zh)
TW (1) TWI520340B (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8889497B2 (en) 2012-12-28 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9536792B2 (en) * 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US9048087B2 (en) 2013-03-14 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for wet clean of oxide layers over epitaxial layers
KR102073967B1 (ko) * 2013-07-30 2020-03-02 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9059002B2 (en) * 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
US9142474B2 (en) 2013-10-07 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation structure of fin field effect transistor
US9287262B2 (en) 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
US9112033B2 (en) 2013-12-30 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9837537B2 (en) * 2014-02-17 2017-12-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
KR102170856B1 (ko) * 2014-02-19 2020-10-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
EP4187619A1 (en) * 2014-03-24 2023-05-31 Intel Corporation Transistoren with multiple fin dimensions on a single die
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US20160005868A1 (en) * 2014-07-01 2016-01-07 Globalfoundries Inc. Finfet with confined epitaxy
US9385197B2 (en) 2014-08-29 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with contact over source/drain structure and method for forming the same
KR102265956B1 (ko) 2014-09-29 2021-06-17 삼성전자주식회사 소스/드레인을 포함하는 반도체 소자 및 그 제조방법
US9543438B2 (en) * 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
US10164108B2 (en) 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9324820B1 (en) 2014-10-28 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor structure with metallic layer over source/drain structure
US9953979B2 (en) * 2014-11-24 2018-04-24 Qualcomm Incorporated Contact wrap around structure
KR102310080B1 (ko) * 2015-03-02 2021-10-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US9324713B1 (en) * 2015-03-16 2016-04-26 Globalfoundries Inc. Eliminating field oxide loss prior to FinFET source/drain epitaxial growth
KR102365305B1 (ko) 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
US9853128B2 (en) * 2015-06-10 2017-12-26 Globalfoundries Inc. Devices and methods of forming unmerged epitaxy for FinFET device
US20170018427A1 (en) * 2015-07-15 2017-01-19 Applied Materials, Inc. Method of selective epitaxy
KR102422430B1 (ko) * 2015-07-16 2022-07-18 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9905641B2 (en) 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9722079B2 (en) * 2015-10-15 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
US9502561B1 (en) * 2015-10-28 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
KR102480447B1 (ko) * 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
TWI707403B (zh) 2016-01-06 2020-10-11 聯華電子股份有限公司 半導體元件及其製作方法
US9450095B1 (en) * 2016-02-04 2016-09-20 International Business Machines Corporation Single spacer for complementary metal oxide semiconductor process flow
US10796924B2 (en) 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US9825036B2 (en) 2016-02-23 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device
US10163898B2 (en) * 2016-04-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9871139B2 (en) * 2016-05-23 2018-01-16 Samsung Electronics Co., Ltd. Sacrificial epitaxial gate stressors
US10043892B2 (en) * 2016-06-13 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
US10249736B2 (en) * 2016-06-15 2019-04-02 International Business Machines Corporation Aspect ratio trapping in channel last process
KR102300557B1 (ko) * 2017-04-03 2021-09-13 삼성전자주식회사 반도체 장치
CN109309052B (zh) * 2017-07-26 2020-10-16 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US11437497B2 (en) 2018-06-29 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10971593B2 (en) * 2019-06-14 2021-04-06 International Business Machines Corporation Oxygen reservoir for low threshold voltage P-type MOSFET
CN114520227A (zh) * 2020-11-18 2022-05-20 联华电子股份有限公司 半导体元件及其制作方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833556B2 (en) * 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7078300B2 (en) 2003-09-27 2006-07-18 International Business Machines Corporation Thin germanium oxynitride gate dielectric for germanium-based devices
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US8012839B2 (en) * 2008-02-29 2011-09-06 Chartered Semiconductor Manufacturing, Ltd. Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
KR101378469B1 (ko) 2008-05-07 2014-03-28 삼성전자주식회사 콘택 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7902009B2 (en) 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US8110877B2 (en) * 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8101473B2 (en) 2009-07-10 2012-01-24 Hewlett-Packard Development Company, L.P. Rounded three-dimensional germanium active channel for transistors and sensors
US20110020753A1 (en) * 2009-07-27 2011-01-27 International Business Machines Corporation Method for reversing tone of patterns on integrated circuit and patterning sub-lithography trenches
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8519509B2 (en) * 2010-04-16 2013-08-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
CN101866953B (zh) * 2010-05-26 2012-08-22 清华大学 低肖特基势垒半导体结构及其形成方法
US8936978B2 (en) * 2010-11-29 2015-01-20 International Business Machines Corporation Multigate structure formed with electroless metal deposition
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
DE102010064283B4 (de) * 2010-12-28 2012-12-27 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung eines selbstjustierten Steg-Transistors auf einem Vollsubstrat durch eine späte Stegätzung
JP5431372B2 (ja) 2011-01-05 2014-03-05 株式会社東芝 半導体装置およびその製造方法

Also Published As

Publication number Publication date
DE102012110642B3 (de) 2013-09-19
KR101511413B1 (ko) 2015-04-10
US9136383B2 (en) 2015-09-15
TWI520340B (zh) 2016-02-01
CN103579176A (zh) 2014-02-12
US20160005825A1 (en) 2016-01-07
US9337285B2 (en) 2016-05-10
US20140042500A1 (en) 2014-02-13
US20160254383A1 (en) 2016-09-01
KR20140020707A (ko) 2014-02-19
TW201407786A (zh) 2014-02-16
US10043908B2 (en) 2018-08-07

Similar Documents

Publication Publication Date Title
CN103579176B (zh) 半导体器件的接触结构
CN103367442B (zh) 鳍式场效应晶体管的栅极堆叠件
CN103515440B (zh) 半导体器件的伪栅电极
CN106057672B (zh) 半导体器件及其制造方法
CN104681615B (zh) 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法
KR101455478B1 (ko) 반도체 디바이스의 접촉 구조
CN103035526B (zh) 半导体器件及其制造方法
CN104733529B (zh) 半导体器件的鳍结构
KR101584408B1 (ko) 반도체 소자의 소스/드레인 구조
US9236253B2 (en) Strained structure of a semiconductor device
CN106816472A (zh) 半导体结构
CN104934472A (zh) Finfet结构及其制造方法
CN106206729A (zh) 鳍式场效应晶体管(finfet)器件结构及其形成方法
US9496395B2 (en) Semiconductor device having a strain feature in a gate spacer and methods of manufacture thereof
CN105280707A (zh) 半导体结构及其制造方法
CN103107196A (zh) 鳍式场效应晶体管及其制造方法
KR20130089132A (ko) FinFET 및, FinFET를 제조하는 방법
US10079291B2 (en) Fin-type field effect transistor structure and manufacturing method thereof
KR20140055907A (ko) 반도체 소자 및 그 제조 방법
CN103378132B (zh) 半导体器件的应变结构及其制造方法
CN104051532B (zh) 半导体器件的源极/漏极结构
US9306033B2 (en) Semiconductor device and fabrication method thereof
CN104681535B (zh) 半导体器件的接触件结构

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant