DE102012110642B3 - Kontaktstruktur einer Halbleitereinrichtung, ein pMOSFET diese enthaltend und ein Verfahren zu deren Herstellung - Google Patents

Kontaktstruktur einer Halbleitereinrichtung, ein pMOSFET diese enthaltend und ein Verfahren zu deren Herstellung Download PDF

Info

Publication number
DE102012110642B3
DE102012110642B3 DE201210110642 DE102012110642A DE102012110642B3 DE 102012110642 B3 DE102012110642 B3 DE 102012110642B3 DE 201210110642 DE201210110642 DE 201210110642 DE 102012110642 A DE102012110642 A DE 102012110642A DE 102012110642 B3 DE102012110642 B3 DE 102012110642B3
Authority
DE
Germany
Prior art keywords
layer
dielectric layer
substrate
containing dielectric
stretched material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE201210110642
Other languages
English (en)
Inventor
Chi-yuan Shih
Yen-Yu Chen
Clement Hsingjen Wann
Ling-Yen Yeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Application granted granted Critical
Publication of DE102012110642B3 publication Critical patent/DE102012110642B3/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

Die Offenbarung betrifft eine Halbleitereinrichtung. Eine beispielhafte Struktur für eine Kontaktstruktur für eine Halbleitereinrichtung umfasst ein Substrat mit einer Hauptoberfläche und einer Kavität unter der Hauptoberfläche; ein gestrecktes Material in der Kavität, wobei die Gitterkonstante des gestreckten Materials anders ist als die Gitterkonstante des Substrats; eine Ge-haltige dielektrische Schicht über dem gestreckten Material und eine Metallschicht über der Ge-haltigen dielektrischen Schicht.

Description

  • Technisches Gebiet
  • Die Offenbarung bezieht sich auf die Herstellung integrierter Schaltkreise und spezieller auf eine Halbleitereinrichtung oder ein Halbleiterbauteil mit einer Kontaktstruktur.
  • HINTERGRUND
  • Mit dem Eindringen der Halbleiterindustrie in Nanometer-Technologieknoten (nanometer technology process nodes) zur Erzielung höherer Bauteildichten, höherer Leistung und geringerer Kosten haben die Herausforderungen im Hinblick auf die Herstellung und das Design zur Entwicklung von dreidimensionalen Designs geführt, wie FIN-Feldeffekttransistoren (FinFET). Ein typischer FinFET wird mit einem dünnen Grat oder einer Gratstruktur (Englisch: „Fin”) hergestellt, der sich ausgehend von einem Substrat erstreckt und z. B. durch Wegätzens eines Teils einer Siliziumschicht des Substrats gebildet ist. Der Kanal des FinFET wird in diesem vertikalen Grat gebildet. Ein Gate ist über dem Grat vorgesehen (z. B. durch Umhüllen des Grats). Das Vorsehen eines Gates auf beiden Seiten des Kanals erlaubt eine Gatesteuerung des Kanals von beiden Seiten. Zusätzlich können gestreckte (strained) Materialien in Source/Drain(S/D)-Bereichen des FinFET, welche selektiv gewachsenes Siliziumgermanium (SiGe) verwenden, zur Verbesserung der Trägermobilität eingesetzt werden.
  • US 2012/0168830 A1 beschreibt FinFETs mit verspannten Schichten und einer Kontaktstruktur mit einem Substrat und einer vergrabenen Oxidschicht auf dem Substrat, wobei ein gestrecktes Halbleitermaterial auf der Oxidschicht und ein Gate-Isolationsfilm auf dem gestreckten Halbleitermaterial ausgebildet sind. Über dem Gate-Isolationsfilm ist eine Gate-Elektrode aus Polysilizium oder ein Metallfilm ausgebildet.
  • Die US 2010/0148217 A1 beschreibt einen Mehrfach-Gate-Transistor, der gestrecktes Halbleitermaterial in Kavitäten aufweist, ohne jedoch eine Ge-haltige dielektrische Schicht zu bilden.
  • Die US 7 078 300 B2 behandelt die Herstellung von GeON-Schichten als Gate-Oxid mit einem zweistufigen Verfahren aus Nitridation und Oxidation.
  • Es gibt jedoch Herausforderungen bei der Umsetzung solcher Merkmale und Prozesse in der Herstellung komplementärer Metalloxidhalbleiter (CMOS). Die Silizidbildung auf gestreckten Materialien erzeugt z. B. einen hohen Kontaktwiderstand der Source/Drain-Bereiche des FinFET, wodurch die Leistungsfähigkeit des Bauteils abnimmt.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Die vorliegende Erfindung sieht eine Kontaktstruktur mit den Merkmalen von Anspruch 1, einen p-Metalloxidhalbleiter-Feldeffekt-Transistor mit den Merkmalen von Anspruch 6 und ein Verfahren gemäß Anspruch 7 vor.
  • Die vorliegende Erfindung sieht eine Kontaktstruktur für einen niedrigen Kontaktwiderstand zu Source/Drain-Bereichen einer Halbleitereinrichtung vor, mit folgenden Merkmalen: ein Substrat mit einer Hauptoberfläche und einer Kavität unter der Hauptoberfläche; ein gestrecktes Material in der Kavität, wobei eine Gitterkonstante des gestreckten Materials anders ist als eine Gitterkonstante des Substrats; eine Ge-haltige dielektrische Schicht über dem gestreckten Material; und eine Metallschicht über der Ge-haltigen dielektrischen Schicht.
  • In einer oder mehreren Ausgestaltungen umfasst das gestreckte Material SiGe oder SiGeB.
  • In einer oder mehreren Ausgestaltungen hat die Ge-haltige dielektrische Schicht eine Dicke im Bereich von ungefär 1 nm bis ungefähr 10 nm.
  • In einer oder mehreren Ausgestaltungen umfasst die Ge-haltige dielektrische Schicht GeNx.
  • In einer oder mehreren Ausgestaltungen umfasst die Ge-haltige dielektrische Schicht GeOx oder GeOxNy.
  • In einer oder mehreren Ausgestaltungen umfasst die Metallschicht Co, Ni oder TiN.
  • In einer oder mehreren Ausgestaltungen hat die Metallschicht eine Dicke im Bereich von ungefähr 5 nm bis ungefähr 10 nm.
  • Die Erfindung sieht auch einen p-Metalloxidhalbleiter-Feldeffekttransistor (pMOSFET) vor, mit folgenden Merkmalen: ein Substrat, das eine Hauptoberfläche und eine Kavität unter der Hauptoberfläche aufweist; ein Gatestapel auf der Hauptoberfläche des Substrats; ein flacher Grabenisolations(STI)-Bereich, der auf einer Seite des Gatestapels angeordnet ist, wobei der STI-Bereich innerhalb des Substrats liegt; und eine Kontaktstruktur, die zwischen den Gatestapel und dem STI-Bereich verteilt ist, wobei die Kontaktstruktur eine beliebige Kombination der Merkmale der oben beschriebenen Kontaktstruktur aufweist.
  • Die Erfindung sieht auch ein Verfahren zum Herstellen einer Halbleitereinrichtung vor, mit den Verfahrensschritten: Vorsehen eines Substrats, das eine Hauptoberfläche und eine Kavität unter der Hauptoberfläche aufweist. Epitaktisches Wachsenlassen eines gestreckten Materials in der Kavität, wobei eine Gitterkonstante des gestreckten Materials anders ist als eine Gitterkonstante des Substrats; epitaktisches Wachsenlassen einer Ge-Schicht über dem gestreckten Material; Behandeln der Ge-Schicht zum Ausbilden einer Ge-haltigen Schicht über dem gestreckten Material; und Ausbilden einer Metallschicht über der Ge-haltigen dielektrischen Schicht.
  • Das Verfahren kann fernem folgende Schritte umfassen: Trimmen des gestreckten Materials vor dem epitaktischen Wachstum der Ge-Schicht über dem gestreckten Material, wobei der Schritt der Trimmens des gestreckten Materials unter Verwendung von HCl als Ätzgas durchgeführt werden kann.
  • Der Schritt des Behandelns der Ge-Schicht kann durchgeführt werden, indem die Ge-Schicht einem Dampf ausgesetzt wird, welcher N2, NH3, H2O, O2 oder O3 enthält.
  • Der Schritt des Behandelns der Ge-Schicht kann durch Plasma-Dotieren oder Ionenimplantation erfolgen.
  • Der Schritt des Ausbildens einer Metallschicht über der dielektrischen Schicht kann durch CVD (chemische Dampfabscheidung), ALD (Atomlagenabscheidung) oder Sputtern erfolgen
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Vorliegende Offenbarung wird am besten verständlich mit Bezug auf die folgende detaillierte Beschreibung und die Zeichnungen. Es sei betont, dass gemäß der Standardpraxis dieser Technologie zahlreiche Merkmale nicht maßstäblich gezeichnet sind und nur der Erläuterung dienen. Die Abmessungen der verschiedenen Merkmale können zur deutlicheren Darstellung willkürlich vergrößert und verkleinert sein.
  • 1 zeigt ein Ablaufdiagramm eines Verfahrens zum Herstellen einer Kontaktstruktur einer Halbleitereinrichtung gemäß verschiedenen Aspekten der vorliegenden Offenbarung;
  • 2A12 zeigen perspektivische Darstellungen und Schnittdarstellungen einer Halbleitereinrichtung, welche eine Kontaktstruktur in verschiedenen Stadien der Herstellung gemäß verschiedenen Ausführungen der vorliegenden Offenbarung aufweist.
  • BESCHREIBUNG
  • Man wird verstehen, dass die folgende Offenbarung viele verschiedene Ausgestaltungen oder Beispiele zur Realisierung verschiedener Merkmale der Offenbarung vorsieht. Die spezifischen Beispiele der Komponenten und Anordnungen sind hier zum Zwecke der Vereinfachung der Offenbarung beschrieben. Dies sind natürlich nur Beispiele und sollen die Erfindung nicht beschränken. Die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann somit Ausführungen umfassen, bei denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, sowie andere Ausführungen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sind, sodass das erste und das zweite Merkmal nicht in direktem Kontakt sind. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen in den verschieden Beispielen wiederholen. Diese Wiederholung dient der einfacheren und klareren Darstellung und erfordert an sich nicht eine Beziehung zwischen den verschiedenen Ausgestaltungen und Konfigurationen.
  • 1 zeigt ein Ablaufdiagramm eines Verfahrens 100 zum Herstellen einer Kontaktstruktur einer Halbleitereinrichtung gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Das Verfahren 100 beginnt mit einem Schritt 102, in dem ein Substrat mit einer Hauptoberfläche und einer Kavität unter der Hauptoberfläche vorgesehen wird. Das Verfahren 100 wird fortgesetzt mit einem Schritt 104, in dem ein gestrecktes Material in der Kavität epitaktisch wachsengelassen wird, wobei eine Gitterkonstante des gestreckten Materials anders ist als eine Gitterkonstante des Substrats. Das Verfahren 100 wird fortgesetzt im Schritt 106, in dem eine Ge-Schicht über dem gestreckten Material epitaktisch wachsengelassen wird. Das Verfahren 100 fährt fort im Schritt 108, in dem die Ge-Schicht behandelt wird, um eine Ge-haltige dielektrische Schicht über dem gestreckten Material zu bilden. Das Verfahren 100 fährt fort im Schritt 110, in dem eine Metallschicht über der Ge-haltigen dielektrischen Schicht gebildet wird. Die folgende Erörterung illustriert Ausführungen von Halbleiterbauteilen, die gemäß dem Verfahren 100 der 1 hergestellt werden können.
  • 2A12 sind perspektivische Darstellungen und Schnittdarstellungen eines Halbleiterbauteils 200 mit einer Kontaktstruktur 230 in verschiedenen Stufen der Herstellung gemäß verschiedenen Ausführungen der vorliegenden Offenbarungen. Die hier beschriebenen Ausgestaltungen beziehen sich auf einen Fin-Feldeffekttransistor (FinFET). Ein FinFET bezeichnet einen Grat(Fin)-basierten mehrfachen Gate-Transistor. In einigen alternativen Ausgestaltungen beziehen sich Ausführungsformen, wie die hier beschriebenen, auf einen planaren Metalloxidhalbleiter-Feldeffekttransistor (planarer MOSFET). Das Halbleiterbauteil 200 kann in einem Mikroprozessor, einer Speicherzelle und/oder anderen integrierten Schaltkreisen (IC) vorgesehen sein.
  • Es sei bemerkt, dass in einigen Ausgestaltungen die Durchführung der in 1 genannten Schritte nicht zu einem vollständigen Halbleiterbauteil 200 führt. Ein vollständiges Halbleiterbauteil 200 kann unter Einsatz von Prozessen der CMOS-Technologie hergestellt werden. Man wird somit verstehen, dass zusätzliche Prozesse vor, während und/oder nach dem Verfahren 100 der 1 vorgesehen werden können, wobei einige zusätzliche Prozesse in dieser Offenbarung nur kurz beschrieben sein können. 2A bis 12 sind auch zur besseren Darstellung der Konzepte der vorliegenden Offenbarung vereinfacht. Obwohl die Figuren das Halbleiterbauteil 200 zeigen, wird man zum Beispiel verstehen, dass der IC eine Vielzahl anderer Bauteile umfassen kann, wie Widerstände, Kondensatoren, Induktoren, Sicherungen etc.
  • Mit Bezug auf die 2A und 2B und Schritt 102 in 1 wird ein Substrat 202 vorgesehen. 2A ist eine perspektivische Darstellung des Halbleiterbauteils 200 mit einem Substrat 202 in einer der verschiedenen Stufen der Herstellung gemäß einer Ausgestaltung, und 2B ist eine Schnittdarstellung des Halbleiterbauteils 200 entlang der Linie a-a in 2A. In wenigstens einer Ausgestaltung umfasst das Substrat 202 ein kristallines Siliziumsubstrat (z. B. Wafer). Das Substrat 202 kann verschiedene dotierte Bereiche aufweisen, abhängig von den Designanforderungen (z. B. ein p-Substrat oder ein n-Substrat). In einigen Ausgestaltungen können die dotierten Bereiche mit p- oder n-Dotanden dotiert sein. Die dotierten Bereiche können zum Beispiel mit p-Dotanden dotiert sein, wie Bor oder BF2; mit n-Dotanden, wie Phosphor oder Arsen; und/oder mit Kombinationen hiervon. Die dotierten Bereiche können für einen n-MOSFET oder alternativ für einen p-MOSFET konfiguriert sein.
  • In einigen alternativen Ausgestaltungen kann das Substrat 202 aus einem anderen geeigneten Halbleiterelement, wie Diamant oder Germanium; aus einem geeigneten Verbindungs-Halbleiter, wie Galliumarsenid, Siliciumcarbid, Indiumarsenid oder Indiumphosphid; oder aus einem geeigneten Legierungs-Halbleiter, wie Siliziumgermaniumcarbid, Galliumarsenphosphid oder Galliumindiumphosphid hergestellt sein. Das Substrat 202 kann ferner eine epitaktische Schicht (epi-Schicht) aufweisen, kann zur Verbesserung der Leistung gestreckt sein und/oder kann eine Silizium-auf-Isolator(SOI)-Struktur aufweisen.
  • In einer Ausgestaltung sind eine Pad-Schicht 204a und eine Maskenschicht 204b auf einer Hauptoberfläche 202s des Halbleitersubstrats 200 ausgebildet. Die Pad-Schicht 204a kann ein Dünnfilm sein, der Siliziumoxid aufweist und zum Beispiel durch einen thermischen Oxidationsprozess hergestellt ist. Die Pad-Schicht 204a kann als eine Haftschicht zwischen dem Halbleitersubstrat 202 und der Maskenschicht 204b dienen. Die Pad-Schicht 204a kann auch als eine Ätzstopp-Schicht zum Ätzen der Maskenschicht 204b dienen. In einer Ausgestaltung ist die Maskenschicht 204b aus Siliziumnitrid gebildet, indem zum Beispiel ein chemisches Niederdruck-Dampfabscheidungsverfahren (LPCVD) oder ein Plasma-verstärktes chemisches Dampfabscheidungsverfahren (PECVD) eingesetzt werden. Die Maskenschicht 204b wird während der nachfolgenden photolithographischen Prozesse als Hartmaske eingesetzt. Eine lichtempfindliche Schicht 206 ist auf der Maskenschicht 204b ausgebildet und wird dann strukturiert, wobei Öffnungen 208 in der lichtempfindlichen Schicht 206 ausgebildet werden.
  • Mit Bezug auf die 3A und 3B wird nach der Herstellung der Öffnungen 208 in der lichtempfindlichen Schicht 206 die Struktur der 3A und 3B hergestellt, indem in dem Substrat 202 eine Vielzahl Grate 212 gebildet wird. 3A ist eine perspektivische Darstellung des Halbleiterbauteils 200 in einer von verschiedenen Stufen der Herstellung gemäß einer Ausführung, und 3B ist eine Schnittdarstellung des Halbleiterbauteils 200 entlang der Linie a-a in 3A. Die Maskenschicht 204b und die Pad-Schicht 204a werden durch die Öffnungen 208 geätzt, um das darunterliegende Halbleitersubstrat 202 freizulegen. Das freiliegende Halbleitersubstrat 202 wird dann geätzt, um Gräben 210 zu bilden, die tiefer sind als die Hauptoberfläche 202s des Halbleitersubstrats 202. Teile des Halbleitersubstrats 202 zwischen den Gräben 210 bilden Halbleitergrate (fins) 212.
  • In der gezeigten Ausgestaltung erstrecken sich die Halbleitergrate 212 von der Substrat-Hauptoberfläche 202s bis zu einer ersten Höhe H1nach unten. Die Gräben 210 können zueinander parallele Streifen sein (gesehen von der Oberseite des Halbleiters), und nahe beieinander liegen. Die Gräben 210 haben jeweils eine Breite W, die erste Höhe H1und einen Abstand zu benachbarten Gräben von S. Der Abstand S zwischen den Gräben 210 kann zum Beispiel kleiner sein als ungefähr 30 nm. Dann wird die lichtempfindliche Schicht 206 entfernt. Als nächstes kann ein Reinigungsschritt durchgeführt werden, um natives Oxid des Halbleitersubstrats 202 zu entfernen. Die Reinigung kann mit einer verdünnten Fluorwasserstoffsäure (DHF-Säure) durchgeführt werden.
  • In einigen Ausführungen kann die erste Höhe H1 der Gräben 210 im Bereich von ungefähr 210 nm bis ungefähr 250 nm liegen, während die Breite der Gräben 210 im Bereich von ungefähr 30 nm bis ungefähr 150 nm liegt. In einigen Ausführungsbeispielen ist das Seitenverhältnis (H/W) der Gräben 210 größer als ungefähr 7,0. In eigen anderen Ausführungen kann das Seitenverhältnis sogar größer sein als ungefähr 8,0. In noch anderen Ausführungen ist das Seitenverhältnis niedriger als 7,0 oder zwischen 7,0 und 8,0. Ein Fachmann wird jedoch verstehen, dass die Abmessungen und Werte, die in dieser Beschreibung angegeben sind, lediglich als Beispiel dienen und verändert werden können, um sie an unterschiedlich große integrierte Schaltkreise anzupassen.
  • Anschließend wird optional Auskleidungsoxid (nicht gezeigt) in den Gräben 210 gebildet. In einem Ausführungsbeispiel kann das Auskleidungsoxid ein thermisches Oxid mit einer Dicke im Bereich von ungefähr 2 nm bis ungefähr 50 nm sein. In einigen Ausführungen kann das Auskleidungsoxid durch eine Dampferzeugung vor Ort (in-situ steam generation; ISSG) oder dergleichen gebildet werden. Die Ausbildung des Auskleidungsoxids rundet die Ecken der Gräben 210 ab, wodurch die elektrischen Felder reduziert werden, und verbessert somit die Leistungsfähigkeit der resultierenden integrierten Schaltung.
  • 4A ist eine perspektivische Darstellung des Halbleiterbauteils 200 in einer von zahlreichen Stufen der Herstellung gemäß einer Ausgestaltung, und 4B ist eine Schnittdarstellung des Halbleiterbauteils 200 entlang der Linie a-a in 4A. Die Gräben 210 werden mit einem dielektrischen Material 214 gefüllt. Das dielektrische Material 214 kann Siliziumoxid aufweisen und wird in der vorliegenden Offenbarung somit als Oxid 214 bezeichnet. In einigen Ausgestaltungen können andere dielektrische Materialien verwendet werden, wie Siliziumnitrid, Siliziumoxinitrid, Fluorsilikatglas (FSG) oder ein dielektrisches Material mit niedriger Dielektrizitätskonstante (low-k). In einer Ausgestaltung kann das Oxid 214 mithilfe eines chemischen Dampfabscheidungsprozesses (CVD-Prozess), bei dem ein Plasma hoher Dichte (high-density-plasma; HDP) erzeugt wird, unter Verwendung von Silan (SiH4) und Sauerstoff (O2) als Reaktions-Precursor gebildet werden. In anderen Ausgestaltungen kann das Oxid 214 durch einen Unterdruck-CVD-Prozess (sub-atmospheric CVD; SACVD) oder durch einen High-Aspect-Ratio-Prozess (HARP) gebildet werden, wobei Prozessgase Tetraethylorthosilikat (TEOS) und Ozon (O3) umfassen können. In noch einer anderen Ausgestaltung kann das Oxid 214 in einem Spin-on-Dielektrikum-Prozess (SOD) gebildet werden, zum Beispiel mit Sauerstoff-Silsesquioxane (HSQ) oder Methyl-Silsesquioxane (MSQ).
  • 4A und 4B zeigen die resultierende Struktur nach der Abscheidung des dielektrischen Materials 214. Anschließend wird chemisch-mechanisches Polieren durchgeführt, gefolgt von der Entfernung der Maskenschicht 204b und der Pad-Schicht 204a. Die resultierende Struktur ist in den 5A und 5B gezeigt. 5A ist eine perspektivische Darstellung des Halbleiterbauteils 200 in einer von verschieden Stufen der Herstellung gemäß einer Ausführung, und 5B ist eine Schnittdarstellung des Halbleiterbauteils entlang der Linie a-a in 5A. Die verbleibenden Teile des Oxids 214 in den Gräben 210 werden im Folgenden als Isolationsschicht 216 bezeichnet. In einer Ausgestaltung ist die Maskenschicht 204b aus Siliziumnitrid gebildet, und die Maskenschicht 204b kann durch ein Nassverfahren unter Verwendung von heißem H3PO4 entfernt werden, während die Pad-Schicht 204a unter Verwendung von verdünnter Fluorwasserstoffsäure oder Flusssäure (HF-Säure) entfernt werden kann, wenn sie aus Siliziumoxid gebildet ist. In alternativen Ausgestaltungen kann die Entfernung der Maskenschicht 204b und der Pad-Schicht 204a durchgeführt werden, nachdem die Isolationsschichten 216 ausgenommen wurden, wobei der Schritt des Ausnehmens in den 6A und 6B gezeigt ist.
  • Wie in den 6A und 6B gezeigt, werden nach der Entfernung der Maskenschicht 204b und der Pad-Schicht 204a die Isolationsschichten 216 durch einen Ätzschritt ausgenommen (mit Ausnehmung versehen), woraus sich Ausnehmungen 218 ergeben, wobei die verbleibende Isolationsschicht 216a eine Oberseite 216t aufweist. 6A ist eine perspektivische Darstellung des Halbleiterbauteils 200 in einer von verschiedenen Stufen der Herstellung gemäß einer Ausführung, und 6B ist eine Schnittdarstellung des Halbleitebauteils 200 entlang der Linie a-a in 6A. In allen Ausgestaltungen kann der Schritt des Ätzens durch einen Nassätzprozess ausgeführt werden, indem zum Beispiel das Substrat 202 in Fluorwasserstoffsäure (HF) getaucht wird. In anderen Ausgestaltungen kann der Schritt des Ätzens durch einen Trockenätzprozess ausgeführt werden, wobei der Trockenätzprozess zum Beispiel unter Verwendung von CHF3 oder BF3 als Ätzgas durchgeführt wird.
  • In der gezeigten Ausgestaltung erstrecken sich die oberen Teile 222 der Grate 212 unter die Substrathauptfläche 202s zur Oberfläche 216t bis auf eine zweite Höhe H2, die geringer ist als die erste Höhe H1, nach unten und somit über die Oberseite 216t der Isolationsschicht 212 hinaus. In einer Ausgestaltung beträgt das Verhältnis des zweiten Höhe H2 zur ersten Höhe H1 von ungefähr 0,2 bis ungefähr 0,5. Die zweite Höhe H2 des oberen Teils 222 der Grate 212 kann zwischen ungefähr 15 nm und ungefähr 50 nm liegen, wobei sie auch größer oder kleiner sein kann. In der gezeigten Ausgestaltung können die oberen Teile 222 der Grate 212 Kanalabschnitte 222a und Source/Drain(S/D)-Abschnitte 222b aufweisen. Die Kanalabschnitte 222a werden dazu eingesetzt, Kanalbereiche des Halbleiterbauteils 200 zu bilden.
  • 7A ist eine perspektivische Darstellung des Halbleiterbauteils 200 in einer von verschiedenen Stufen der Herstellung gemäß einer Ausgestaltung, und 7B ist eine Schnittdarstellung des Halbleiterbauteils 200 entlang der Linie a-a in 7A. Über den Kanalabschnitten 222a des oberen Teils 222 der Grate 212 ist ein Gatestapel 220 gebildet, der sich zur Oberseite 216t der Isolationsschicht 216a erstreckt. In einigen Ausgestaltungen umfasst der Gatestapel 220 üblicherweise eine dielektrische Gateschicht 220a und eine Gate-Elektrodenschicht 220b über der dielektrischen Gateschicht 220a.
  • In den 7A und 7B ist ein Gatedielektrikum 220a so ausgebildet, dass es die Kanalabschnitte 222a des oberen Teils 222 der Grate 212 überdeckt. In einigen Ausgestaltungen kann die dielektrische Gateschicht 220a Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder ein Dielektrikum mit hoher Dielektrizitätskonstante (high-k) aufweisen. High-k-Dielektrika umfassen Metalloxide. Beispiele für Metalloxide, die als High-k-Dielektrika verwendet werden, umfassen Oxide aus Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu und Mischungen hiervon. In der vorliegenden Ausgestaltung ist die dielektrische Gateschicht 220a eine Schicht aus einem High-k-Dielektrikum mit einer Dicke im Bereich von ungefähr 1 bis 3 nm. Die dielektrische Gateschicht 220a kann mit geeigneten Verfahren hergestellt werden, wie Atomlagenabscheidung (atomic layer deposition; ALD), chemische Dampfabscheidungen (CVD), physikalische Dampfabscheidung (PVD), thermische Oxidation, UV-Ozon-Oxidation oder Kombinationen hiervon. Die dielektrische Gateschicht 220a kann ferner eine Grenzflächenschicht (nicht gezeigt) aufweisen, um Beschädigungen zwischen der dielektrischen Gateschicht 220a und den Kanalabschnitten 222a der oberen Teile 222 der Grate 212 zu vermindern. Die Grenzflächenschicht kann Siliziumoxid aufweisen.
  • Die Gate-Elektrodenschicht 220b wird anschließend auf der dielektrischen Gateschicht 220a gebildet. In einer Ausgestaltung überdeckt die Gate-Elektrodenschicht 220b die oberen Teile 222 von mehr als einem Halbleitergrat 212, sodass das resultierende Halbleiterbauteil 200 mehr als einen Grat aufweist. In einigen alternativen Ausgestaltungen kann jeder der oberen Teile 222 der Halbleitergrate 212 zum Ausbilden eines getrennten Halbleiterbauteils 200 genutzt werden. In einigen Ausgestaltungen kann die Gate-Elektrodenschicht 220b eine einlagige oder eine mehrlagige Struktur aufweisen. In der vorliegenden Ausgestaltung kann die Gate-Elektrodenschicht 220b Polysilizium aufweisen. Die Gate-Elektrodenschicht 220b kann ferner dotiertes Polysilizium mit einer gleichmäßigen oder ungleichmäßigen Dotierung sein. In einigen alternativen Ausgestaltungen kann die Gate-Elektrodenschicht 220b ein Metall aufweisen, wie zum Beispiel Al, Cu, W, Ti, Ta, TiN, TiAl, TiAlN, TaN, NiSi, CoSi, oder andere leitende Materialien mit einer zu dem Substrat materialkompatiblen Austrittsarbeit (work funktion), oder Kombinationen hiervon. In der vorliegenden Ausgestaltung hat die Gate-Elektrodenschicht 220b eine Dicke im Bereich von ungefähr 30 nm bis ungefähr 60 nm. Die Gate-Elektrodenschicht 220b kann ferner mit einem geeigneten Prozess hergestellt werden, wie ALD, CVD, PVD, Platieren oder Kombinationen hiervon.
  • Noch immer mit Bezug auf 7A umfasst das Halbleiterbauteil 200 ferner eine dielektrische Schicht 224, die über dem Substrat 202 und entlang der Seite des Gatestapels ausgebildet ist. In einigen Ausgestaltungen kann die dielektrische Schicht 224 Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder ein anderes geeignetes Material aufweisen. Die dielektrische Schicht 224 kann eine einlagige oder eine mehrlagige Struktur aufweisen. Eine Deckschicht der dielektrischen Schicht 224 kann durch CVD, PVD, ALD oder eine andere geeignete Technik ausgebildet sein. Anschließend wird ein anisotroper Ätzvorgang an der dielektrischen Schicht 224 ausgeführt, um zwei Abstandshalter auf zwei Seiten des Gatestapels 220 auszubilden. Die dielektrische Schicht 224 hat eine Dicke im Bereich von ungefähr 5 bis 15 nm.
  • 8A ist eine perspektivische Darstellung des Halbleiterbauteils 200 in einer von verschiedenen Stufen der Herstellung gemäß einer Ausgestaltung, und 8B ist eine Schnittdarstellung des Halbleiterbauteils 200 entlang der Linie b-b in 8A. Unter Verwendung des Gatestapels 220 und der dielektrischen Schicht 224 als Hartmasken wird ein vorgespannter (biased) Ätzprozess ausgeführt, um S/D-Abschnitte 222b der oberen Teile 222 der Grate 212, die nicht geschützt sind oder freiliegen, auszunehmen und so die S/D-Kavitäten 228 unter der Hauptoberfläche 202s zu bilden. In einer Ausgestaltung kann der Ätzprozess unter Verwendung einer Substanz als Ätzgas durchgeführt werden, welche ausgewählt ist aus NF3, CF4 und SF6. In einer alternativen Ausgestaltung kann der Ätzprozess unter Verwendung einer Lösung durchgeführt werden, welche NH4OH und H2O2 umfasst.
  • Mit Bezug auf die 9A und 9B und Schritt 104 in 1 wird nach der Herstellung der S/D-Kavitäten 228 in den S/D-Abschnitten 222b die Struktur der 9A und 9B durch epitaktisches Wachstum eines gestreckten Materials 226 in den S/D-Kavitäten 228 erzeugt, wobei sich die Gitterkonstante des gestreckten Materials 226 von der Gitterkonstante des Substrats 202 unterscheidet. 9A ist eine perspektivische Darstellung des Halbleiterbauteils 200 in einer von verschiedenen Stufen der Herstellung gemäß einer Ausgestaltung, und 9B ist eine Schnittdarstellung des Halbleiterbauteils 200 entlang der Linie b-b in 9A. In der gezeigten Ausgestaltung ist eine Oberseite 226t des gestreckten Materials 226 höher als die Oberseite 216t. In einigen Ausgestaltungen umfasst das gestreckte Material 226 SiGe oder SiGeB für einen p-dotierten Metalloxidhalbleiter-Feldeffekttransistor (pMOSFET).
  • In der gezeigten Ausgestaltung kann ein Vorreinigungsprozess durchgeführt werden, um die S/D-Kavitäten 228 mit HF oder einer anderen geeigneten Lösung zu Reinigen. Dann wird das gestreckte Material 226, wie Siliziumgermanium (SiGe), mittels eines LPCVD-Prozesses selektiv wachsengelassen, um die S/D-Kaviäteten 228 zu füllen. In einigen Ausgestaltungen wird der LPCVD-Prozess bei einer Temperatur von ungefähr 660 bis 700°C und einem Druck von ungefähr 17 bis 66 hPa (13 bis 50 Torr) durchgeführt, wobei SiH2Cl2, HCl, GeH4, B2H6 und/oder H2 als Reaktionsgase verwendet werden.
  • Die Prozessschritte bis zu diesem Punkt haben das Substrat 202 ergeben, welches das gestreckte Material 226 in den S/D-Kavitäten 228 aufweist. Gewöhnlich können Silizidbereiche über dem gestreckten Material 226 durch Aufbringen oder Abscheiden einer dünnen Schicht aus einem Metallwerkstoff, wie Nickel, Titan, Kobalt und Kombinationen hiervon gebildet werden. Anschließend wird das Substrat 202 erwärmt, sodass das Silizium mit dem Metall dort reagiert, wo sie in Kontakt sind. Nach der Reaktion wird eine Schicht aus Metall-Silizid zwischen dem siliziumhaltigen Material und dem Metall gebildet. Das nichtreagierte Metall wird mithilfe eines Ätzmittels, welches das Metallmaterial, nicht aber Silizid angreift, selektiv entfernt. Fermi-Level-Pinning zwischen dem Metallsilizid und dem gestreckten Material 226 führt jedoch zu einer festen Schottky-Barierrenhöhe (SBH). Diese feste SBH bewirkt einen hohen Kontaktwiderstand der S/D-Bereiche des Halbleiterbauteils und verschlechtert somit die Leistungsfähigkeit des Bauteils.
  • Die unten mit Bezug auf die 10 bis 12 beschriebene Verarbeitung kann daher eine Kontaktstruktur bilden, die eine Ge-haltige dielektrische Schicht aufweist, um die Silizidbereiche zu ersetzen. Die Ge-haltige dielektrische Schicht kann als eine niederomige Zwischenschicht dienen und das hochohmige Metallsilizid ersetzen. Die Kontaktstruktur kann somit einen niedrigen Kontaktwiderstand der S/D-Bereiche des Halbleiterbauteils vorsehen und dadurch die Leistungsfähigkeit des Bauteils verbessern.
  • Wie in 10 und Schritt 106 in 1 gezeigt, wird zur Herstellung einer Kontaktstruktur (wie eine in 12 gezeigte Kontaktstruktur 230) des Halbleiterbauteils 200 die Struktur in 10 hergestellt, indem über dem gestreckten Material 226 eine Ge-Schicht 232 durch epitaktisches Wachstum gebildet wird. 10 ist eine Schnittdarstellung des Halbleiterbauteils 200 entlang der Linie b-b in 9 in einer von verschiedenen Stufen der Herstellung gemäß einer Ausführung. In einigen Ausführungen hat die Ge-Schicht 232 eine Dicke im Bereich von ungefähr 1 nm bis ungefähr 10 nm. In einigen Ausführungen umfasst der Schritt zum Herstellen der Kontaktstruktur 230 ferner das Trimmen oder Beschneiden des gestreckten Materials 226 vor dem epitaktischen Wachstum der Ge-Schicht 232, um eine Verschmelzung zwischen benachbarten Ge-Schichten 232 zu vermeiden. In einigen Ausführungen wird der Schritt des Trimmens des gestreckten Materials 226 mithilfe von HCl als Ätzgas durchgeführt.
  • In einer Ausgestaltung kann der epitaktische Ge-Prozess bei einem Druck von ungefähr 1,3 Pa bis 13 Pa (10 mTorr bis 100 mTorr), bei einer Temperatur von ungefähr 350°C bis 450°C und unter Verwendung von GeH4, GeH3CH3 und/oder (GeH3)2CH2 als epitaktische Gase durchgeführt werden. Optional kann nach dem epitaktischen Prozess ein Härtungsglühprozess bei einer Temperatur von ungefähr 550°C bis 750°C ausgeführt werden, um Versetzungs- oder Dislozierungsdefekte an der Schnittstelle des gestreckten Materials 226 zu der epitaktischen Ge-Schicht 232 zu begrenzen.
  • 11 ist eine Schnittdarstellung des Halbleiterbauteils 200 entlang der Linie b-b in 9A in einer von verschiedenen Stufen der Herstellung gemäß einer Ausführung. Die in 11 gezeigte Struktur wird dann durch Behandeln 240 der Ge-Schicht 232 gebildet, um eine Ge-haltige dielektrische Schicht 234 über dem gestreckten Material 226 zu bilden (Schritt 108 in 1). In einigen Ausgestaltungen umfasst die Ge-haltige dielektrische Schicht 234 GeNx, GeOx oder GeOxNy. In einigen Ausführungen hat die Ge-haltige dielektrische Schicht 234 eine erste Dicke t1 im Bereich von ungefähr 1 nm bis ungefähr 10 nm.
  • In einigen Ausführungen wird der Schritt des Behandelns 240 der Ge-Schicht 232 zum Bilden einer Ge-haltigen dielektrischen Schicht 234 über dem gestreckten Material 262 durch thermische Nitridation oder thermische Oxidation ausgeführt, wobei eine Oberfläche der Ge-Schicht 232 einem Dampf ausgesetzt wird, welcher N2, NH3, H2O, O2, oder O3 enthält. In einigen Ausführungen wird der Schritt des Behandelns 240 der Ge-Schicht 232 zum Bilden einer Ge-haltigen dielektrischen Schicht 234 über dem gestreckten Material 226 durch Plasmadotierung oder Ionenimplantation ausgeführt, wobei N2 und/oder O2 als Dotiergas verwendet wird. Die Dotierkonzentration liegt zwischen ungefähr 1015 bis ungefähr 1022 Atome/cm3. Dann wird die in 11 gezeigte Struktur durch Glühen (Annealing) des Substrats 202 gebildet, um die dotierte Ge-Schicht 232 in die Ge-haltige dielektrische Schicht 234 umzuwandeln. In der gezeigten Ausgestaltung kann die Ge-haltige dielektrische Schicht 234 die feste SBH reduzieren und als eine niederohmige Schicht dienen, um hochohmiges Metallsilizium zu ersetzen, wodurch die Leistungsfähigkeit das Bauteils verbessert wird.
  • 12 ist eine Schnittdarstellung des Halbleiterbauteils 200 entlang der Linie b-b von 9A in einer von verschiedenen Stufen der Herstellung gemäß einer Ausgestaltung. Im Bezug auf 12 wird nach der Herstellung der Ge-haltigen dielektrischen Schicht 234 eine erste Metallschicht 236 über der Ge-haltigen dielektrischen Schicht 234 gebildet (Schritt 110 in 1), sodass diese eine zweite Dicke t2 im Bereich von ungefähr 5 nm bis ungefähr 10 nm hat. In einigen Ausgestaltungen umfasst die erste Metallschicht 236 Co, Ni oder TiN. Die erste Metallschicht 236 kann durch CVD, ALD oder Sputtern hergestellt werden. In der gezeigten Ausgestaltung werden die erste Metallschicht 236, die Ge-haltige dielektrische Schicht 234, das gestreckte Material 226 und das Substrat 202 kombiniert und insgesamt als Kontaktstruktur 230 des Halbleiterbauteils 200 bezeichnet.
  • Dann wird eine zweite Metallschicht 238 über der ersten Metallschicht 236 gebildet. In der gezeigten Ausgestaltung umfasst die zweite Metallschicht 238 Al, Cu oder W. In einigen Ausgestaltungen kann die zweite Metallschicht 238 durch CVD, PVD, ALD oder eine andere geeignete Technik hergestellt werden. Nachdem die in 1 gezeigten Schritte, die des Weiteren mit Bezug auf die in den 2A bis 12 gezeigten Beispiele erläutert wurden, ausgeführt sind, werden üblicherweise weitere Prozesse, welche Verbindungstechnik umfassen, ausgeführt, um die Herstellung des Halbleiterbauteils 200 abzuschließen.
  • Gemäß einer Ausgestaltung umfasst eine Kontaktstruktur für ein Halbleiterbauteil ein Substrat mit einer Hauptoberfläche und einer Kavität unter der Hauptoberfläche; ein gestrecktes Material in der Kavität, wobei die Gitterkonstante des gestreckten Materials anders ist als die Gitterkonstante des Substrats; eine Ge-haltige dielektrische Schicht über dem gestreckten Material; und eine Metallschicht über der Ge-haltigen dielektrischen Schicht.
  • Gemäß einer anderen Ausgestaltung umfasst ein p-dotierter Metalloxidhalbleiter-Feldeffekttransistor (pMOSFET) ein Substrat mit einer Hauptoberfläche und einer Kavität unter der Hauptoberfläche; einen Gatestapel auf der Hauptoberfläche des Substrats; einen flachen Grabenisolations(STI)-Bereich, der auf einer Seite des Gatestapels aufgebracht ist, wobei der STI-Bereich innerhalb des Substrats liegt; und eine Kontaktstruktur, die zwischen dem Gatestapel und dem STI-Bereich verteilt ist, wobei die Kontaktstruktur ein gestrecktes Material in der Kavität aufweist, wobei die Gitterkonstante des gestreckten Materials anders ist als die Gitterkonstante des Substrats; und des Weiteren eine Ge-haltige dielektrische Schicht über dem gestreckten Material und eine Metallschicht über der Ge-haltigen dielektrischen Schicht aufweist.
  • Gemäß einer weiteren Ausführung umfasst ein Verfahren zum Herstellen eines Halbleiterbauteils das Vorsehen eines Substrats mit einer Hauptoberfläche und einer Kavität unter der Hauptoberfläche; das epitaktische Wachstum eines gestreckten Materials in der Kavität, wobei die Gitterkonstante des gestreckten Materials anders ist als die Gitterkonstante des Substrats; das epitaktische Wachstum einer Ge-Schicht über dem gestreckten Material; die Behandlung der Ge-Schicht zur Bildung einer Ge-haltigen dielektrischen Schicht über dem gestreckten Material; und das Ausbilden einer Metallschicht über der Ge-haltigen dielektrischen Schicht.

Claims (10)

  1. Kontaktstruktur für einen niedrigen Kontaktwiderstand zu Source/Drain-Bereichen einer Halbleitereinrichtung, mit folgenden Merkmalen: ein Substrat (202), welches eine Hauptoberfläche (202s) und eine Kavität (228) unter der Hauptoberfläche (202s) aufweist; ein gestrecktes Material (226) in der Kavität (228), wobei die Gitterkonstante des gestreckten Materials (226) anders ist als die Gitterkonstante des Substrats (202); eine Ge-haltige dielektrische Schicht (234) über dem gestreckten Material (226); und eine Metallschicht (236) über der Ge-haltigen dielektrischen Schicht (234).
  2. Kontaktstruktur nach Anspruch 1, wobei das gestreckte Material (226) SiGe oder SiGeB umfasst.
  3. Kontaktstruktur nach Anspruch 1 oder 2, wobei die Ge-haltige dielektrische Schicht (234) eine Dicke im Bereich von ungefähr 1 nm bis ungefähr 10 nm hat und wobei die Metallschicht (236) eine Dicke im Bereich von ungefähr 5 nm bis ungefähr 10 nm hat.
  4. Kontaktstruktur nach einem der vorangehenden Ansprüche, wobei die Ge-haltige dielektrische Schicht (234) eines der folgenden Materialien aufweist: GeNx, GeOx und GeOxNy.
  5. Kontaktstruktur nach einem der vorangehenden Ansprüche, wobei die Metallschicht (236) Co, Ni, oder TiN aufweist.
  6. P-Typ-Metalloxid-Halbleiter-Feldeffekttransistor (pMOSFET), mit folgenden Merkmalen: ein Substrat (202) mit einer Hauptoberfläche (202s) und einer Kavität (228) unter der Hauptoberfläche (202s); ein Gatestapel (220) auf der Hauptoberfläche (202s) des Substrats (202); ein flacher Grabenisolations(STI)-Bereich (210), der auf einer Seite des Gatestapels (220) angeordnet ist, wobei der STI-Bereich innerhalb des Substrats (202) liegt; und eine Kontaktstruktur (230), die zwischen dem Gatestapel (220) und dem dem STI-Bereich (210) verteilt ist, wobei die Kontaktstruktur (230) die Merkmale nach einem der vorangehenden Ansprüche aufweist.
  7. Verfahren zum Herstellen einer Halbleitereinrichtung, mit folgenden Verfahrensschritten: Vorsehen eines Substrats (202), das eine Hauptoberfläche (202s) und eine Kavität (228) unter der Hauptoberfläche (202s) aufweist; epitaktisches Wachsenlassen eines gestreckten Materials (226) in der Kavität (228), wobei eine Gitterkonstante des gestreckten Materials (226) anders ist als eine Gitterkonstante des Substrats (202); epitaktisches Wachsenlassen einer Ge-Schicht (232) über dem gestreckten Material (226); Behandeln der Ge-Schicht (232) zum Bilden einer Ge-haltigen dielektrischen Schicht (234) über dem gestreckten Material; und Ausbilden einer Metallschicht (236) über der Ge-haltigen dielektrischen Schicht (234).
  8. Verfahren nach Anspruch 7, mit dem weiteren Schritt: Trimmen des gestreckten Materials (226) vor dem epitaktischen Wachsenlassen der Ge-Schicht (232) über dem gestreckten Material (226).
  9. Verfahren nach Anspruch 8, wobei der Schritt des Trimmens des gestreckten Materials (226) unter Verwendung von HCl als Ätzgas ausgeführt wird.
  10. Verfahren nach einem der Ansprüche 7 bis 9, wobei der Schritt des Behandeln der Ge-Schicht (232) ausgeführt wird, indem die Ge-Schicht (232) einem Dampf ausgesetzt wird, welcher N2, NH3, H2O, O2, oder O3 aufweist.
DE201210110642 2012-08-09 2012-11-07 Kontaktstruktur einer Halbleitereinrichtung, ein pMOSFET diese enthaltend und ein Verfahren zu deren Herstellung Active DE102012110642B3 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/571,201 US9136383B2 (en) 2012-08-09 2012-08-09 Contact structure of semiconductor device
US13/571,201 2012-08-09

Publications (1)

Publication Number Publication Date
DE102012110642B3 true DE102012110642B3 (de) 2013-09-19

Family

ID=49044238

Family Applications (1)

Application Number Title Priority Date Filing Date
DE201210110642 Active DE102012110642B3 (de) 2012-08-09 2012-11-07 Kontaktstruktur einer Halbleitereinrichtung, ein pMOSFET diese enthaltend und ein Verfahren zu deren Herstellung

Country Status (5)

Country Link
US (3) US9136383B2 (de)
KR (1) KR101511413B1 (de)
CN (1) CN103579176B (de)
DE (1) DE102012110642B3 (de)
TW (1) TWI520340B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016085570A1 (en) * 2014-11-24 2016-06-02 Qualcomm Incorporated Contact wrap around structure

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8889497B2 (en) * 2012-12-28 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9536792B2 (en) * 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US9048087B2 (en) 2013-03-14 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for wet clean of oxide layers over epitaxial layers
KR102073967B1 (ko) 2013-07-30 2020-03-02 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9059002B2 (en) 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
US9142474B2 (en) 2013-10-07 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation structure of fin field effect transistor
US9287262B2 (en) 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
US9112033B2 (en) * 2013-12-30 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9837537B2 (en) * 2014-02-17 2017-12-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
KR102170856B1 (ko) * 2014-02-19 2020-10-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20230003606A (ko) * 2014-03-24 2023-01-06 인텔 코포레이션 단일 다이 상에 다수의 트랜지스터 핀 치수들을 얻기 위한 기술들
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US20160005868A1 (en) * 2014-07-01 2016-01-07 Globalfoundries Inc. Finfet with confined epitaxy
US9385197B2 (en) 2014-08-29 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with contact over source/drain structure and method for forming the same
KR102265956B1 (ko) 2014-09-29 2021-06-17 삼성전자주식회사 소스/드레인을 포함하는 반도체 소자 및 그 제조방법
US9543438B2 (en) 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
US10164108B2 (en) 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9324820B1 (en) 2014-10-28 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor structure with metallic layer over source/drain structure
KR102310080B1 (ko) * 2015-03-02 2021-10-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US9324713B1 (en) * 2015-03-16 2016-04-26 Globalfoundries Inc. Eliminating field oxide loss prior to FinFET source/drain epitaxial growth
KR102365305B1 (ko) * 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
US9853128B2 (en) * 2015-06-10 2017-12-26 Globalfoundries Inc. Devices and methods of forming unmerged epitaxy for FinFET device
US20170018427A1 (en) * 2015-07-15 2017-01-19 Applied Materials, Inc. Method of selective epitaxy
KR102422430B1 (ko) * 2015-07-16 2022-07-18 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9905641B2 (en) 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9722079B2 (en) * 2015-10-15 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
US9502561B1 (en) * 2015-10-28 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
KR102480447B1 (ko) * 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
TWI707403B (zh) 2016-01-06 2020-10-11 聯華電子股份有限公司 半導體元件及其製作方法
US9450095B1 (en) * 2016-02-04 2016-09-20 International Business Machines Corporation Single spacer for complementary metal oxide semiconductor process flow
US10796924B2 (en) 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US9825036B2 (en) 2016-02-23 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device
US10163898B2 (en) 2016-04-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9871139B2 (en) * 2016-05-23 2018-01-16 Samsung Electronics Co., Ltd. Sacrificial epitaxial gate stressors
US10043892B2 (en) * 2016-06-13 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
US10249736B2 (en) * 2016-06-15 2019-04-02 International Business Machines Corporation Aspect ratio trapping in channel last process
KR102300557B1 (ko) * 2017-04-03 2021-09-13 삼성전자주식회사 반도체 장치
CN109309052B (zh) * 2017-07-26 2020-10-16 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US11437497B2 (en) 2018-06-29 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10971593B2 (en) * 2019-06-14 2021-04-06 International Business Machines Corporation Oxygen reservoir for low threshold voltage P-type MOSFET
CN114520227A (zh) * 2020-11-18 2022-05-20 联华电子股份有限公司 半导体元件及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7078300B2 (en) * 2003-09-27 2006-07-18 International Business Machines Corporation Thin germanium oxynitride gate dielectric for germanium-based devices
US20100148217A1 (en) * 2008-12-11 2010-06-17 Danielle Simonelli Graded high germanium compound films for strained semiconductor devices
US20110006348A1 (en) * 2009-07-10 2011-01-13 Hans Cho Rounded three-dimensional germanium active channel for transistors and sensors
US20120168830A1 (en) * 2011-01-05 2012-07-05 Koji Usuda Semiconductor device and method of manufacturing the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833556B2 (en) * 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US8012839B2 (en) * 2008-02-29 2011-09-06 Chartered Semiconductor Manufacturing, Ltd. Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
KR101378469B1 (ko) 2008-05-07 2014-03-28 삼성전자주식회사 콘택 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8110877B2 (en) * 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US20110020753A1 (en) * 2009-07-27 2011-01-27 International Business Machines Corporation Method for reversing tone of patterns on integrated circuit and patterning sub-lithography trenches
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8519509B2 (en) * 2010-04-16 2013-08-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
CN101866953B (zh) * 2010-05-26 2012-08-22 清华大学 低肖特基势垒半导体结构及其形成方法
US8936978B2 (en) * 2010-11-29 2015-01-20 International Business Machines Corporation Multigate structure formed with electroless metal deposition
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
DE102010064283B4 (de) * 2010-12-28 2012-12-27 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung eines selbstjustierten Steg-Transistors auf einem Vollsubstrat durch eine späte Stegätzung

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7078300B2 (en) * 2003-09-27 2006-07-18 International Business Machines Corporation Thin germanium oxynitride gate dielectric for germanium-based devices
US20100148217A1 (en) * 2008-12-11 2010-06-17 Danielle Simonelli Graded high germanium compound films for strained semiconductor devices
US20110006348A1 (en) * 2009-07-10 2011-01-13 Hans Cho Rounded three-dimensional germanium active channel for transistors and sensors
US20120168830A1 (en) * 2011-01-05 2012-07-05 Koji Usuda Semiconductor device and method of manufacturing the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016085570A1 (en) * 2014-11-24 2016-06-02 Qualcomm Incorporated Contact wrap around structure
US9953979B2 (en) 2014-11-24 2018-04-24 Qualcomm Incorporated Contact wrap around structure

Also Published As

Publication number Publication date
US20160254383A1 (en) 2016-09-01
US10043908B2 (en) 2018-08-07
US20140042500A1 (en) 2014-02-13
US9337285B2 (en) 2016-05-10
TWI520340B (zh) 2016-02-01
KR20140020707A (ko) 2014-02-19
TW201407786A (zh) 2014-02-16
US9136383B2 (en) 2015-09-15
CN103579176B (zh) 2017-04-12
CN103579176A (zh) 2014-02-12
US20160005825A1 (en) 2016-01-07
KR101511413B1 (ko) 2015-04-10

Similar Documents

Publication Publication Date Title
DE102012110642B3 (de) Kontaktstruktur einer Halbleitereinrichtung, ein pMOSFET diese enthaltend und ein Verfahren zu deren Herstellung
US10515856B2 (en) Method of making a FinFET, and FinFET formed by the method
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE102012106901B4 (de) FinFET-Anordnung und Verfahren zu deren Herstellung
DE102013112389B4 (de) Passivierung und Facettierung für FIN-Feldeffekttransistor
DE102015108690B4 (de) Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren
DE102012102783B4 (de) Rippenfeldeffekttransistoren und Verfahren zur Herstellung derselben
DE102012221824B4 (de) Verfahren zur Herstellung einer Multi-Gate-Transistoreinheit, Multi-Gate-Transistoreinheit und Schaltungsvorrichtung damit
DE102007004789B4 (de) Halbleiteranordnung, Multi-Gate-Feldeffekttransistor und Verfahren zur Herstellung einer Halbleiteranordnung
DE102013114266B4 (de) Passivierungsstruktur eines Finnen-Feldeffekt-Transistors und Verfahren zur Herstellung derselben
DE102008046400B4 (de) Verfahren zur Herstellung eines CMOS-Bauelements mit MOS-Transistoren mit abgesenkten Drain- und Sourcebereichen und einem Si/Ge-Material in den Drain- und Sourcebereichen des PMOS-Transistors
DE102017128577B4 (de) Ätzprofilsteuerung von polysiliziumstrukturen von halbleitervorrichtungen
DE102012111082A1 (de) Gate-Stapel eines Fin-Feldeffekttransistors
DE102017117984A1 (de) Herstellung von dotierten bereichen in halbleiter-streifen
DE102018119795B4 (de) Spannungsmodulation für dielektrische Schichten
DE102008011932A1 (de) Verfahren zur Erhöhung der Eindringtiefe von Drain- und Sourceimplantationssorten für eine gegebene Gatehöhe
US9087733B2 (en) Double trench well formation in SRAM cells
DE102009021487A1 (de) Halbleiterelement, das in einem kristallinen Substratmaterial aufgebaut ist und ein eingebettetes in-situ-dotiertes Halbleitermaterial aufweist
JP2010245233A (ja) 半導体装置およびその製造方法
DE102018106581B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102013100029B3 (de) Kontaktstruktur für ein Halbleiterbauteil und ein MOSFET diese aufweisend und ein Herstellungsverfahren dafür
DE102012201207A1 (de) Halbleitervorrichtungen mit eingekapselten Verspannungsbereichen und zugehörige Herstellungsverfahren
CN104637815B (zh) Mos晶体管及其制备方法
TW202113943A (zh) 半導體裝置
CN118098981A (zh) nFET器件及其制造方法

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029450000

Ipc: H01L0029430000

R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20131220