DE102013100029B3 - Kontaktstruktur für ein Halbleiterbauteil und ein MOSFET diese aufweisend und ein Herstellungsverfahren dafür - Google Patents

Kontaktstruktur für ein Halbleiterbauteil und ein MOSFET diese aufweisend und ein Herstellungsverfahren dafür Download PDF

Info

Publication number
DE102013100029B3
DE102013100029B3 DE201310100029 DE102013100029A DE102013100029B3 DE 102013100029 B3 DE102013100029 B3 DE 102013100029B3 DE 201310100029 DE201310100029 DE 201310100029 DE 102013100029 A DE102013100029 A DE 102013100029A DE 102013100029 B3 DE102013100029 B3 DE 102013100029B3
Authority
DE
Germany
Prior art keywords
layer
dielectric layer
metal layer
substrate
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE201310100029
Other languages
English (en)
Inventor
Sung-Li Wang
Ding-Kang SHIH
Sey-Ping Sun
Clement Hsingjen Wann
Chin-Hsiang Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/629,109 external-priority patent/US9105490B2/en
Priority claimed from US13/672,258 external-priority patent/US8823065B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Application granted granted Critical
Publication of DE102013100029B3 publication Critical patent/DE102013100029B3/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Die Erfindung betrifft eine Kontaktstruktur eines Halbleiterbauteils. Eine beispielhafte Struktur einer Kontaktstruktur für ein Halbleiterbauteil weist ein Substrat auf, das aufweist: eine Hauptoberfläche und einen Graben unterhalb der Hauptoberfläche; ein verspanntes Material, das den Graben füllt, wobei sich eine Gitterkonstante des verspannten Materials von einer Gitterkonstante des Substrats unterscheidet; eine Zwischenschicht-Dielektrikums(ILD)-Schicht, die eine Öffnung über dem verspannten Material aufweist, wobei die Öffnung dielektrische Seitenwände und einen verspannten Materialboden aufweist; eine dielektrische Schicht, die die Seitenwände und den Boden der Öffnung beschichtet, wobei die dielektrische Schicht eine Dicke zwischen 1 nm und 10 nm aufweist; und eine Metallschicht, die eine beschichtete Öffnung der dielektrischen Schicht beschichtet.

Description

  • Technisches Gebiet
  • Die Offenbarung betrifft die Herstellung integrierter Schaltkreise und genauer ein Halbleiterbauteil mit einer Kontaktstruktur.
  • Hintergrund
  • Mit der Fortentwicklung der Halbleiterindustrie zu Nanometertechnologie-Prozessknoten in dem Streben nach höheren Bauteildichten, höherer Leistungsfähigkeit und niedrigeren Kosten, haben Herausforderungen sowohl bei der Herstellung als auch beim Design zu der Entwicklung von dreidimensionalen Designs von Halbleiterbauteilen geführt, wie Fin-Feldeffekttransistoren (FinFET). Ein typischer FinFET wird mithilfe einer dünnen vertikalen „Finne” (oder Finnenstruktur), welche sich von einem Substrat aus erstreckt, beispielsweise durch Wegätzen eines Anteils einer Siliziumschicht auf dem Substrat hergestellt. Der Kanal des FinFET wird in dieser vertikalen Finne ausgebildet. Ein Gate wird über drei Seiten der Finne (zum Beispiel durch eine Umpackung) bereitgestellt. Ein Gate auf beiden Seiten des Kanals erlaubt die Gate-Steuerung des Kanals von beiden Seiten. Weitere Vorteile des Fin-FET sind die Reduzierung des Kurzkanaleffekts und ein höherer Stromfluss.
  • Bei der Herstellung komplementärer Metalloxidhalbleiter (CMOS) bestehen jedoch Hürden hinsichtlich der Umsetzung solcher Merkmale und Prozesse. Beispielsweise erzeugt die Silizidausbildung auf verspannten Materialien hohe Kontaktwiderstände der Source-Drain-Bereiche des FinFET, wodurch die Bauteilleistungsfähigkeit herabgesetzt wird. Die US 2010/0123198 A1 behandelt die Silizidausbildung auf verspanntem Material für CMOS Bauteile. Die US 2010/0200928 A1 bildet für CMOS Bauteile TiSiNO Barrieren durch Nitridieren eines Titan-Films auf einem Siliziumsubstrat ohne Verspannungen dazu.
  • Kurze Beschreibung der Zeichnungen
  • Die vorliegende Offenbarung wird am besten anhand der nachstehenden genauen Beschreibung verstanden, wenn diese mit den begleitenden Figuren gelesen wird. Es wird betont, dass gemäß der üblichen Praxis in der Industrie verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind und lediglich zur Veranschaulichung verwendet werden. Tatsächlich können für die Klarheit der Diskussion die Abmessungen verschiedener Merkmale willkürlich vergrößert oder verkleinert sein.
  • 1 ist ein Flussdiagramm, das ein Verfahren für die Herstellung einer Kontaktstruktur eines Halbleiterbauteils gemäß verschiedenen Aspekten der vorliegenden Offenbarung veranschaulicht; und
  • 212 sind schematische Querschnittsansichten eines Halbleiterbauteils, das eine Kontaktstruktur aufweist, bei unterschiedlichen Herstellungsstadien gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • Beschreibung
  • Es sollte verstanden werden, dass die nachstehende Offenbarung viele unterschiedliche Ausführungsformen oder Beispiele für die Umsetzung verschiedener Merkmale der Offenbarung bereitstellt. Nachstehend sind bestimmte Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind jedoch lediglich Beispiele und sie sind nicht dazu vorgesehen, zu beschränken. Beispielsweise kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachstehenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Merkmal in unmittelbarem Kontakt miteinander stehen und kann ebenso Ausführungsformen umfassen, bei welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sind, so dass das erste und das zweite Merkmal nicht unmittelbar miteinander in Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszeichen und/oder -buchstaben in verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Vereinfachung und Klarheit und soll selbst keine Beziehung zwischen den verschiedenen Ausführungsformen und/oder den diskutierten Konfigurationen vorgeben.
  • 1 zeigt ein Flussdiagramm eines Verfahrens 100 für die Herstellung einer Kontaktstruktur eines Halbleiterbauteils gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Das Verfahren 100 beginnt mit dem Schritt 102, bei welchem ein Substrat, das eine Hauptoberfläche und einen Graben unterhalb der Hauptoberfläche aufweist, bereitgestellt wird. Das Verfahren 100 setzt mit dem Schritt 104 fort, bei welchem ein verspanntes Material mittels Epitaxi (Epi) in dem Graben aufgewachsen wird, wobei sich eine Gitterkonstante des verspannten Materials von einer Gitterkonstante des Substrats unterscheidet. Das Verfahren 100 setzt mit dem Schritt 106 fort, bei welchem eine Zwischenschicht-Dielektrikums(ILD)-Schicht über dem verspannten Material ausgebildet wird. Das Verfahren setzt mit dem Schritt 108 fort, bei welchem eine Öffnung in der ILD-Schicht ausgebildet wird, um einen Anteil des verspannten Materials freizulegen. Das Verfahren 100 setzt mit dem Schritt 110 fort, bei welchem eine erste Metallschicht ausgebildet wird, um das Innere der Öffnung zu beschichten, wobei sich die erste Metallschicht über die ILD-Schicht erstreckt. Das Verfahren 100 setzt mit dem Schritt 112 fort, bei welchem die erste Metallschicht behandelt wird, um eine dielektrische Schicht über der verspannten Schicht auszubilden. Das Verfahren 100 setzt mit dem Schritt 114 fort, bei welchem eine zweite Metallschicht in einer beschichteten Öffnung der dielektrischen Schicht ausgebildet wird. Die nachstehende Diskussion veranschaulicht Ausführungsformen von Halbleiterbauteilen, welche entsprechend dem Verfahren 100 gemäß 1 hergestellt werden können.
  • Die 212 sind schematische Querschnittsansichten eines Halbleiterbauteils 200, das eine Kontaktstruktur 230 aufweist, bei verschiedenen Herstellungsstadien und gemäß verschiedenen Aspekten der vorliegenden Offenbarung. In der vorliegenden Offenbarung bezieht sich der Ausdruck Halbleiterbauteil 200 auf einen Fin-Feldeffekttransistor (FinFET). Der Ausdruck FinFET bezieht sich auf irgendeinen Finnen-basierten Multi-Gate-Transistor. Bei manchen alternativen Ausführungsformen bezieht sich der Ausdruck Halbleiterbauteil 200 auf einen planaren Metalloxidhalbleiterfeldeffekttransistor (MOSFET). Andere Transistorstrukturen und analoge Strukturen befinden sich innerhalb des betrachteten Umfangs dieser Offenbarung. Das Halbleiterbauteil 200 kann in einen Mikroprozessor, eine Speicherzelle und/oder in anderen integrierten Schaltkreisen (IC) aufgenommen sein.
  • Es wird darauf hingewiesen, dass bei manchen Ausführungsformen die Durchführung der in 1 genannten Operationen kein fertig gestelltes Halbleiterbauteil 200 hervorbringt. Ein fertiggestelltes Halbleiterbauteil 200 kann unter Verwendung komplementärer Metalloxidhalbleiter(CMOS)-Technologieverarbeitung hergestellt werden. Dementsprechend sollte verstanden werden, dass zusätzliche Prozesse vor, während und/oder nach dem Verfahren 100 gemäß 1 bereitgestellt sein können, und dass manche andere Prozesse hier nur kurz beschrieben sein können. Darüber hinaus sind die 212 für ein besseres Verständnis des Konzepts der vorliegenden Offenbarung vereinfacht. Beispielsweise sollte verstanden werden, dass, obwohl die Figuren das Halbleiterbauteil 200 veranschaulichen, der IC eine Mehrzahl anderer Bauteile, die Widerstände, Kondensatoren, Spulen, Sicherungen, usw. umfassen, aufweist.
  • Mit Bezug auf 2 und den Schritt 102 gemäß 1 wird ein Substrat 20, das eine Hauptoberfläche 20s aufweist, bereitgestellt. Bei zumindest einer Ausführungsform weist das Substrat 20 ein kristallines Siliziumsubstrat (zum Beispiel einen Wafer) auf. Das Substrat 20 kann verschiedene dotierte Bereiche in Abhängigkeit von Designanforderungen (z. B. ein p-Typ-Substrat oder ein n-Typ-Substrat) aufweisen. Bei manchen Ausführungsformen können die dotierten Bereiche mit p-Typ- oder n-Typ-Dotanten dotiert sein. Beispielsweise können die dotierten Bereich mit p-Typ-Dotanten, wie Bor oder BF2, mit n-Typ-Dotanten, wie Phosphor oder Arsen, und/oder Kombinationen dieser dotiert sein. Die dotierten Bereiche können für einen n-Typ-FinFET oder planaren MOSFET oder alternativ für einen p-Typ-FinFET oder einen planaren MOSFET konfiguriert sein.
  • Das Substrat 20 kann alternativ aus einem anderen geeigneten elementaren Halbleiter wie Diamant oder Germanium, einem geeigneten Verbindungshalbleiter wie Galliumarsenid, Siliziumkarbid, Indiumarsenid oder Indiumphosphid, oder aus einem geeigneten Legierungshalbleiter wie Silizium-Germanium-Karbid, Gallium-Arsen-Phosphid, oder Gallium-Indium-Phosphid hergestellt sein. Weiterhin kann das Substrat 20 eine epitaktische Schicht (Epi-Schicht) umfassen, zur Leistungssteigerung verspannt sein, und/oder eine Silizium-auf-Nichtleiter(SOI)-Struktur aufweisen.
  • Bei der gezeigten Ausführungsform weist das Substrat 20 weiterhin eine Finnenstruktur 202 auf. Die Finnenstruktur 202, die auf dem Substrat 20 ausgebildet ist, weist eine Finne oder mehrere Finnen auf. Bei der vorliegenden Ausführungsform weist die Finnenstruktur 202 zur Vereinfachung eine einzige Finne auf. Die Finne weist irgendein geeignetes Material auf, beispielsweise kann die Finne Silizium, Germanium oder einen Verbindungshalbleiter aufweisen. Die Finnenstruktur 202 kann weiter eine Deckschicht (nicht dargestellt), die auf der Finne angeordnet ist, aufweisen, wobei diese eine Silizium-Deckschicht sein kann.
  • Die Finnenstruktur 202 wird unter Verwendung irgendeines geeigneten Prozesses, umfassend verschiedene Abscheidetechnologien, Fotolithografie und/oder Ätzprozesse, ausgebildet. Ein beispielhafter Fotolithografieprozess kann das Ausbilden einer Fotolackschicht (Fotolack), die das Substrat 20 überdeckt (beispielsweise auf einer Siliziumschicht), das Belichten des Fotolacks gemäß einem Muster, das Durchführen eines der Belichtung nachgeschalteten Backprozesses und das Entwickeln des Fotolacks umfassen, um ein Maskenelement, das den Fotolack umfasst, auszubilden. Die Siliziumschicht kann dann unter Verwendung reaktiver Ionenätz(RIE)-Prozesse oder anderer geeigneter Prozesse geätzt werden. Gemäß einem Beispiel werden die Siliziumfinnen der Finnenstruktur 202 unter Verwendung von Strukturieren und Ätzen eines Abschnitts des Siliziumsubstrats 20 ausgebildet. Gemäß einem anderen Beispiel können die Siliziumfinnen der Finnenstruktur 202 unter Verwendung von Strukturieren und Ätzen einer Siliziumschicht, die derart abgeschieden ist, dass sie eine Nichtleiter-Schicht überdeckt (beispielsweise eine obere Siliziumschicht auf einem Silizium-Nichtleiter-Siliziumstapel eines SOI-Substrats), ausgebildet werden. Bei noch anderen Ausführungsformen wird die Finnenstruktur durch Ausbilden einer dielektrischen Schicht über einem Substrat, das Öffnen von Gräben in der dielektrischen Schicht und das epitaktische Aufwachsen von Finnen auf dem Substrat in den Gräben, um die Finnen auszubilden, ausgebildet. Bei der angegebenen Ausführungsform werden Isolationsbereiche innerhalb des Substrats 20 ausgebildet, um verschiedene Finnen der Finnenstruktur 202 festzulegen und elektrisch zu isolieren. Bei einem Beispiel umfassen die Isolationsbereiche Shallow-Trench-Isolation(STI)-Bereiche 204 (aufweisend 204a und 204b). Die Isolationsbereiche können Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, fluordotiertes Silikatglas (FSG), ein dielektrisches Material mit niedrigem k-Wert und/oder Kombinationen dieser aufweisen. Die Isolationsbereiche, und in der vorliegenden Ausführungsform auch die STI-Bereiche 204, können mithilfe irgendeines geeigneten Prozesses ausgebildet werden. Beispielsweise umfasst die Ausbildung der STI-Bereiche 204 das Füllen der Gräben zwischen den Finnen (beispielsweise unter Verwendung eines chemischen Dampfabscheidungsprozesses) mit einem dielektrischen Material. Bei manchen Ausführungsformen kann der gefüllte Graben eine Multilager-Struktur aufweisen, wie zum Beispiel eine thermische Oxidabdichtschicht, die mit Siliziumnitrid oder Siliziumoxid gefüllt ist.
  • Weiter mit Bezug auf 2 wird ein Gate-Stapel 210 auf der Hauptoberfläche 20s des Substrats 20 ausgebildet (d. h. auf einer Oberseite der Finnenstruktur 202) zwischen den STI-Bereichen 204. Obwohl sich in der in den Figuren gezeigten Ebene der Gate-Stapel 210 lediglich auf der Oberseite der Finne erstreckt, wird der Fachmann erkennen, dass sich in einer anderen Ebene des Bauteils (die nicht in den Zeichnungen gezeigt ist) der Gate-Stapel 210 entlang der Seitenwände der Finnenstruktur 202 erstreckt. Bei manchen Ausführungsformen weist der Gate-Stapel 210 eine dielektrische Gate-Schicht 212 und eine Gate-Elektrodenschicht 214 über der dielektrischen Gate-Schicht 212 auf. Bei manchen Ausführungsformen ist ein Paar Seitenwandabstandshalter 216 auf zwei Seiten des Gate-Stapels 210 ausgebildet. Bei der dargestellten Ausführungsform kann der Gate-Stapel 210 unter Verwendung irgendeines geeigneten Prozesses einschließlich der hier beschriebenen Prozesse ausgebildet werden.
  • Gemäß einem Beispiel werden die dielektrische Gate-Schicht 212 und die Gate-Elektrodenschicht 214 nacheinander über dem Substrat 20 abgeschieden. Bei manchen Ausführungsformen kann die dielektrische Gate-Schicht 212 Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder Dielektrika mit hoher dielektrischer Konstante (hohem k-Wert) aufweisen. Dielektrika mit hohem k-Wert weisen Metalloxide auf. Beispiele für Metalloxide, welche für Dielektrika mit hohem k-Wert verwendet werden, umfassen Oxide von Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu und Mischungen dieser. Bei der vorliegenden Ausführungsform ist die dielektrische Gate-Schicht 212 eine dielektrische Schicht mit hohem k-Wert mit einer Dicke im Bereich von ungefähr 1 nm (10 Ångström) bis ungefähr 3 nm (30 Ångström). Die dielektrische Gate-Schicht 212 kann unter Verwendung eines geeigneten Prozesses wie atomarer Schichtabscheidung (ALD), chemischer Dampfabscheidung (CVD), physikalischer Dampfabscheidung (PVD), thermischer Oxidation, UV-Ozonoxidation oder Kombinationen dieser ausgebildet sein. Die dielektrische Gate-Schicht 212 kann weiterhin eine Grenzflächenschicht (nicht gezeigt) aufweisen, um Zerstörungen zwischen der dielektrischen Gate-Schicht 212 und der Finnenstruktur 202 zu verringern. Die Grenzflächenschicht kann Siliziumoxid aufweisen.
  • Bei manchen Ausführungsformen kann die Gate-Elektrodenschicht 214 eine Single-Layer- oder eine Multilager-Struktur aufweisen. Bei zumindest einer Ausführungsform weist die Gate-Elektrodenschicht 214 Polysilizium auf. Weiterhin kann die Gate-Elektrodenschicht 214 mit Polysilizium dotiert sein, bei einheitlicher oder bei uneinheitlicher Dotierung. Bei einer alternativen Ausführungsform weist die Gate-Elektrodenschicht 214 ein Metall auf, das aus der Gruppe bestehend aus W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn und Zr ausgewählt ist. Bei einer alternativen Ausführungsform weist die Gate-Elektrodenschicht 214 ein Metall auf, das aus einer Gruppe bestehend aus TiN, WN, TaN und Ru ausgewählt ist. Bei der vorliegenden Ausführungsform weist die Gate-Elektrodenschicht 214 eine Dicke in dem Bereich zwischen ungefähr 30 nm und ungefähr 60 nm auf. Die Gate-Elektrodenschicht 214 kann unter Verwendung eines geeigneten Prozesses wie ALD, CVD, PVD, Plattieren oder Kombinationen dieser ausgebildet werden.
  • Danach wird eine Schicht eines Fotolacks (nicht gezeigt) über der Gate-Elektrodenschicht 214 mithilfe eines geeigneten Prozesses wie Spin-on-Beschichtung ausgebildet und mithilfe eines angemessenen Lithografiestrukturierungsverfahrens strukturiert, um einen strukturierten Fotolack auszubilden. Bei zumindest einer Ausführungsform liegt eine Breite des strukturierten Fotolacks zwischen ungefähr 5 nm und ungefähr 45 nm. Der strukturierte Fotolack kann dann unter Verwendung eines Trockenätzprozesses zu der darunterliegenden Schicht (d. h. zu der Gate-Elektrodenschicht 214 und der dielektrischen Gate-Schicht 212) überführt werden, um den Gate-Stapel 210 auszubilden. Danach kann die Fotolackschicht abgezogen werden.
  • Immer noch mit Bezug auf 2 weist das Halbleiterbauteil 200 weiterhin eine dielektrische Schicht auf, die über dem Gate-Stapel 210 und dem Substrat 20 ausgebildet ist und die Seitenwände des Gate-Stapels 210 bedeckt. Die dielektrische Schicht kann Siliziumoxid, Siliziumnitrid oder Siliziumoxinitrid aufweisen. Die dielektrische Schicht kann eine einzige Schicht oder eine Multilager-Struktur aufweisen. Die dielektrische Schicht kann mithilfe von CVD, PVD, ALD oder anderen geeigneten Techniken ausgebildet werden. Die dielektrische Schicht weist eine Dicke zwischen ungefähr 5 nm und ungefähr 15 nm auf. Danach wird ein anistropisches Ätzen auf die dielektrische Schicht angewendet, um ein Paar Seitenwandabstandshalter 216 auf zwei Seiten des Gate-Stapels 210 auszubilden.
  • Mit Bezug auf 3 und den Schritt 102 in 1 werden Anteile der Finnenstruktur 202 (andere als diejenigen, wo der Gate-Stapel 210 und das Paar Seitenwandabstandshalter 216 darüber ausgebildet worden sind) ausgespart, um Source- und Drain-(S/D)Gräben 206 (welche 206a und 206b aufweisen) unterhalb der Hauptoberfläche 20s des Substrats 20 angrenzend an den Gate-Stapel 210 zu bilden. In der dargestellten Ausführungsform ist jeder der S/D-Gräben 206 zwischen dem Gate-Stapel 210 und einem der STI-Bereiche 204 angeordnet.
  • Somit grenzt der S/D-Graben 206a an den Gate-Stapel 210, während der STI-Bereich 204a auf einer Seite des S/D-Grabens 206a dem Gate-Stapel 210 gegenüberliegend angeordnet ist. Daher grenzt der S/D-Graben 206b an den Gate-Stapel 210, während der STI-Bereich 204d auf einer Seite des S/D-Grabens 206b dem Gate-Stapel 210 gegenüberliegend angeordnet ist.
  • Bei der dargestellten Ausführungsform wird unter Verwendung des Gate-Stapels 210 und des Paars Seitenwandabstandshalter 216 als Hartmasken ein Ätzprozess unter Vorspannung auf den Graben der Hauptoberfläche 20s des Substrats 20, welche ungeschützt oder freigelegt ist, angewendet, um die S/D-Gräben 206 auszubilden. Bei einer Ausführungsform kann der Ätzprozess unter Verwendung eines Drucks von ungefähr 0,133 Pa (1 mTorr) bis ungefähr 133,3 Pa (1000 mTorr), bei einer Leistung von ungefähr 50 W bis ungefähr 1000 W, einer Vorspannung von ungefähr 20 V bis ungefähr 500 V, bei einer Temperatur von ungefähr 40°C bis ungefähr 60°C unter Verwendung von HBr und/oder Cl2 als Ätzgas durchgeführt werden.
  • Wie in 4 und Schritt 104 gemäß 1 gezeigt ist, wird nach der Ausbildung der S/D-Graben 206 unterhalb der Hauptoberfläche 20s des Substrats 20 die in 4 gezeigte Struktur durch Epi-Aufwachsen eines verspannten Materials 208 in dem S/D-Graben 206 hergestellt, wobei sich eine Gitterkonstante des verspannten Materials 208 von einer Gitterkonstante des Substrats 20 unterscheidet. Damit ist der Kanalbereich des Halbleiterbauteils 200 verspannt oder gespannt, um die Ladungsträgermobilität des Bauteils zu erhöhen.
  • Bei manchen Ausführungsformen weist das verspannte Material 208 Si, Ge, SiGe, SiC, SiP (Silizium Phosphid) oder III-V-Halbleitermaterial auf. Bei der dargestellten Ausführungsform kann ein Vorabreinigungsprozess durchgeführt werden, um die S/D-Gräben 206 mit HF oder anderen geeigneten Lösungen zu reinigen. Danach wird das verspannte Material 208, wie Silizium-Germanium (SiGe), selektiv mittels eines Niederdruck-CVD(LPCVD)-Prozesses aufgewachsen, um die S/D-Gräben 206 zu füllen. Bei einer Ausführungsform ist eine obere Oberfläche des verspannten Materials 208 niedriger angeordnet als eine Hauptoberfläche 20s (nicht dargestellt). Bei einer anderen Ausführungsform erstreckt sich das verspannte Material 208, welches die S/D-Graben füllt, nach oben über die Hauptoberfläche 20s. Bei der dargestellten Ausführungsform ist der LPCVD-Prozess bei einer Temperatur von ungefähr 400 bis ungefähr 800°C und unter einem Druck von ungefähr 133 bis 2000 Pa (1 bis 15 Torr) unter Verwendung von SiH2Cl2, HCl, GeH4, B2H6 und H2 als Reaktionsgase durchgeführt.
  • Die Prozessschritte bis zu diesem Punkt haben das Substrat 20 bereitgestellt, welches das verspannte Material 208 in den S/D-Gräben 206 aufweist. Bei manchen Anwendungen können Silizidbereiche über dem verspannten Material 208 durch Deckabscheidung einer dünnen Metallschicht wie Nickel, Titan, Kobalt und Kombinationen dieser ausgebildet werden. Das Substrat 20 wird daraufhin erwärmt, was dazu führt, dass das Silizium an den Stellen mit dem Metall reagiert, wo es dieses berührt. Nach der Reaktion wird eine Schicht Metallsilizid zwischen dem Silizium enthaltenden Material und dem Metall ausgebildet. Das unreagierte Metall wird durch die Verwendung einer Ätze, welche das Metall, jedoch nicht das Silizid angreift, selektiv entfernt. Das Fermi-Level-Pinning zwischen dem Metallsilizid und dem verspannten Material 208 führt jedoch zu einer festen Schottky-Barrierenhöhe (SBH). Diese feste SBH bedingt einen hohen Kontaktwiderstand der S/D-Bereiche des Halbleiterbauteils und setzt damit die Bauteilleistungsfähigkeit herab.
  • Demnach kann die nachstehend mit Bezug auf die 512 diskutierte Weiterverarbeitung eine Kontaktstruktur ausbilden, welche eine leitfähige dielektrische Schicht aufweist, um die Silizidbereiche zu ersetzen. Die leitfähige dielektrische Schicht kann als Zwischenschicht mit niedrigem Widerstand dienen, um das Metallsilizid mit hohem Widerstand zu ersetzen. Daher kann die Kontaktstruktur einen niedrigen Kontaktwiderstand der S/D-Bereiche des Halbleiterbauteils bereitstellen, wodurch die Bauteilleistungsfähigkeit verbessert wird.
  • Wie in den 5 und 6 und Schritt 106 gemäß 1 dargestellt ist, wird für die Herstellung einer Kontaktstruktur (wie eine in 12 gezeigte Kontaktstruktur 230) des Halbleiterbauteils 200 die Struktur gemäß 5 mittels Ausbilden einer dielektrischen Zwischenschicht (ILD) 218 über dem verspannten Material 208, dem Gate-Stapel 210, dem Paar Seitenwandabstandshalter 216 und dem Isolationsbereich 204 hergestellt.
  • Die ILD-Schicht 218 weist ein dielektrisches Material auf Das dielektrische Material kann Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Phosphorsilikatglass (PSG), Borphosporsilikatglas (BPSG), Spin-on-Glas (SOG), fluoriertes Silikatglas (FSG), kohlenstoffdotiertes Siliziumoxid (z. B. SiCOH), und/oder Kombinationen dieser aufweisen. Bei manchen Ausführungsformen kann die ILD-Schicht 218 über dem verspannten Material 208 mittels CVD, CVD mit hochdichtem Plasma (HDP), subatmosphärischem CVD (SACVD), Spin-on, Sputterdeposition oder anderen geeigneten Verfahren ausgebildet werden. Bei der vorliegenden Ausführungsform weist die ILD-Schicht 218 eine Dicke zwischen ungefähr 400 nm bis 800 nm (4000 bis 8000 Å) auf.
  • Es sollte verstanden werden, dass die ILD-Schicht 218 eine oder mehrere dielektrische Materialien und/oder eine oder mehrere dielektrische Schichten aufweisen kann.
  • Danach wird die ILD-Schicht 218 unter Verwendung eines CMP-Prozesses planarisiert, bis eine Oberseite der Gate-Elektrodenschicht 214 freigelegt oder erreicht wird (in 6 gezeigt). Der CMP-Prozess weist eine hohe Selektivität auf, um eine im Wesentlichen planare Oberfläche für die Gate-Elektrodenschicht 214 und die ILD-Schicht 218 bereitzustellen.
  • Darauffolgende auf das Halbleiterbauteil 200 gemäß 6 angewandte CMOS-Verarbeitungsschritte weisen das Ausbilden einer Kontaktöffnung durch die ILD-Schicht 218 auf, um elektrische Kontakte mit den S/D-Bereichen des Halbleiterbauteils 200 bereitzustellen. Mit Bezug auf 7 wird die in 7 gezeigte Struktur durch Ausbilden einer Öffnung 220 in der ILD-Schicht 218 hergestellt, um einen Abschnitt des verspannten Materials 208 (Schritt 108 in 1) freizulegen. Die Ausbildung der Öffnung 220 umfasst beispielsweise das Ausbilden einer Fotolackschicht (nicht gezeigt) über der ILD-Schicht 218 mithilfe eines geeigneten Prozesses, wie Spin-on-Beschichten, Strukturieren der Fotolackschicht, um einen strukturierten Fotolack mithilfe eines geeigneten Lithografieverfahrens auszubilden, und Ätzen der freigelegten ILD-Schicht 218 (beispielsweise unter Verwendung eines Trockenätz-, eines Nassätz- und/oder eines Plasmaätzprozesses), um Anteile der ILD-Schicht 218 zu entfernen, um einen Anteil des verspannten Materials freizulegen. Daher ist die Öffnung 220 oberhalb des verspannten Materials 208 angeordnet, wobei die Öffnung 220 dielektrische Seitenwände 220a und einen verspannten Materialboden 220b aufweist. Die strukturierte Fotolackschicht kann danach abgezogen werden.
  • Mit Bezug auf 8 und den Schritt 110 in 1 wird nach der Ausbildung der Öffnung 220 in der ILD-Schicht 218 die Struktur gemäß 8 durch Ausbilden einer ersten Metallschicht 222, die das Innere der Öffnung 220 beschichtet und sich über die ILD-Schicht 218 und den Gate-Stapel 210 erstreckt, hergestellt. Bei manchen Ausführungsformen kann die erste Metallschicht 222 Ti, Al, Zr, Hf, Ta, In, Ni, Be, Mg, Ca, Y, Ba, Sr, Sc oder Ga aufweisen und sie kann unter Verwendung eines Verfahrens wie CVD, ALD oder Sputterdeposition ausgebildet werden. Bei manchen Ausführungsformen weist die erste Metallschicht 222 eine erste Dicke t1 auf, die zwischen ungefähr 1 nm und ungefähr 4 nm liegt.
  • Mit Bezug auf die 9 und 10 sowie den Schritt 112 gemäß 1 wird nach der Ausbildung der ersten Metallschicht 222 die Struktur gemäß 10 durch Behandeln der ersten Metallschicht 222, um eine dielektrische Schicht 226 über dem verspannten Material 208 auszubilden, hergestellt. In den gezeigten Ausführungsformen wird der Behandlungsschritt der ersten Metallschicht 222 zunächst durch Aussetzen einer Oberfläche der ersten Metallschicht 222 einer Sauerstoff enthaltenden Umgebung wie Luft oder einer abgedichteten Kammer bei einem Sauerstoffdruck von ungefähr 133,3·10–10 Pa (1·10–10 Torr) bis ungefähr 10,13·104 Pa (760 Torr) durchgeführt, was zu einem Sauerstoff enthaltenden Film 224 mit adsorbierter Deckschicht führt, welche oberhalb einer Oberfläche der ersten Metallschicht 222 (in 9 gezeigt) ausgebildet ist. Bei manchen Ausführungsformen weist die Sauerstoff enthaltende Umgebung H2O, O2 oder O3 auf.
  • Nach dem Aussetzen der Oberfläche der ersten Metallschicht 222 einer Sauerstoff enthaltenden Umgebung weist der Behandlungsschritt der ersten Metallschicht 222 weiterhin das Aussetzen der Oberfläche der ersten Metallschicht 222 einem Inertgas, bei einer Temperatur von ungefähr 200°C bis ungefähr 800°C auf. Bei manchen Ausführungsformen weist das Inertgas N2, He oder Ar auf. Bei der dargestellten Ausführungsform reagiert der Sauerstoff enthaltende Film mit absorbierter Deckschicht 224 mit der ersten Metallschicht 222, welche sich in Kontakt mit diesem befindet, um die dielektrische Schicht 226 über dem verspannten Material 208 auszubilden. Bei manchen Ausführungsformen bildet die dielektrische Schicht 226, welche das Innere der Öffnung 220 beschichtet, eine beschichtete Öffnung 220a.
  • Bei manchen Ausführungsformen weist die dielektrische Schicht 226 eine zweite Dicke t2 auf, welche zwischen ungefähr 1 nm und ungefähr 10 nm liegt, wodurch die dielektrische Schicht 226 leitfähig gemacht wird. Daher wird im Folgenden die dielektrische Schicht 226 als eine leitfähige dielektrische Schicht 226 bezeichnet. Bei zumindest einer Ausführungsform weist die leitfähige dielektrische Schicht 226 TiO, TiO2 oder Ti2O3 auf. Bei einer alternativen Ausführungsform weist die leitfähige dielektrische Schicht 226 Al2O3 auf. Bei einer alternativen Ausführungsform ist die leitfähige dielektrische Schicht aus einem Oxid der Gruppe bestehend aus Zr, Hf, Ta, In, Ni, Be, Mg, Ca, Y, Ba, Sr, Sc, Ga und Mischungen dieser ausgebildet. Bei der gezeigten Ausführungsform kann die leitfähige dielektrische Schicht 226 die feste SBH verringern und als eine Zwischenschicht mit niedrigem Widerstand dienen, um die Metallsilizide mit hohem Widerstand zu ersetzen, wodurch die Bauteilleistungsfähigkeit verbessert wird.
  • Mit Bezug auf die 11 und 12 und den Schritt 114 gemäß 1 werden nach der Ausbildung der leitfähigen dielektrischen Schicht 226 die Strukturen in 11 durch Ausbilden einer zweiten Metallschicht 228 in der beschichteten Öffnung 220a der leitfähigen dielektrischen Schicht 226 hergestellt. In der gezeigten Ausführungsform wird die zweite Metallschicht 228 über der leitfähigen dielektrischen Schicht 226 abgeschieden, um die beschichtete Öffnung 220a der leitfähigen dielektrischen Schicht 226 zu füllen. Bei manchen Ausführungsformen weist die zweite Metallschicht 228 Ta, Ti, Hf, Zr, Ni, W, Co, Cu oder Al auf. Bei manchen Ausführungsformen kann die zweite Metallschicht 228 mittels CVD, PVD, Plattieren, ALD oder anderen geeigneten Techniken ausgebildet werden. Bei manchen Ausführungsformen kann die zweite Metallschicht 228 ein Laminat aufweisen. Das Laminat kann weiterhin eine Grenzmetallschicht, eine Deckmetallschicht oder eine Benetzungsmetallschicht aufweisen. Weiterhin wird die Dicke der zweiten Metallschicht 228 von der Tiefe der beschichteten Öffnung 220a abhängen. Die zweite Metallschicht 228 wird somit soweit abgeschieden, bis die beschichtete Öffnung 220a im Wesentlichen gefüllt und überfüllt ist.
  • Danach wird ein weiterer CMP-Prozess durchgeführt, um die zweite Metallschicht 228 nach dem Auffüllen der beschichteten Öffnung 220a (in 12 gezeigt) zu planarisieren. Da der CMP-Prozess einen Anteil der zweiten Metallschicht 228 außerhalb der beschichteten Öffnung 220a entfernt, kann der CMP-Prozess beendet werden, wenn die ILD-Schicht 218 erreicht wird, wodurch eine im Wesentlichen planare Oberfläche bereitgestellt wird.
  • Bei manchen Ausführungsformen weist mit Bezug auf das in den 212 gezeigte Beispiel die Kontaktstruktur 230 für das Halbleiterbauteil 200 somit das Substrat 20 auf, welches aufweist: die Hauptoberfläche 20s und den Graben 206 unterhalb der Hauptoberfläche 20s (in 3 gezeigt); das verspannte Material 208, welches den Graben 206 füllt, wobei sich eine Gitterkonstante des verspannten Materials von einer Gitterkonstante des Substrats 20 unterscheidet (in 4 gezeigt); die Zwischenschicht-Dielektrikums(ILD)-Schicht 218, welche die Öffnung 220 über dem verspannten Material 208 aufweist, wobei die Öffnung 208 dielektrische Seitenwände 220a und den verspannten Materialboden 220b (in 7 gezeigt) aufweist; eine dielektrische Schicht 226, die Seitenwände 220a und den Boden 220b der Öffnung 220 beschichtet, wobei die dielektrische Schicht 226 die Dicke t2 zwischen ungefähr 1 nm und 10 nm aufweist (in 10 gezeigt); und die Metallschicht 228, die die beschichtete Öffnung 220a der dielektrischen Schicht 226 füllt (in 12 gezeigt).
  • Bei der gezeigten Ausführungsform wird der Gate-Stapel 210 unter Verwendung eines Gate-First-Prozesses hergestellt. Bei einer alternativen Ausführungsform kann der Gate-Stapel 210 unter Verwendung eines Gate-Last-Prozesses hergestellt werden, bei dem zunächst ein Dummy-Gate-Stapel ausgebildet wird. Bei manchen Ausführungsformen weist der Gate-Last-Prozess das Ausbilden einer ILD-Schicht auf, welche den Dummy-Gate-Stapel umgibt, das Entfernen einer Dummy-Gate-Elektrodenschicht, um einen Graben in der ILD-Schicht auszubilden, und daraufhin das Füllen des Grabens mit einer leitfähigen Gate-Elektrodenschicht. Bei manchen Ausführungsformen weist der Gate-Last-Prozess das Ausbilden einer ILD-Schicht auf, welche den Dummy-Gate-Stapel umgibt, das Entfernen einer Dummy-Gate-Elektrodenschicht und einer dielektrischen Dummy-Gate-Schicht, um einen Graben in der ILD-Schicht auszubilden, daraufhin das Füllen des Grabens mit einer dielektrischen Gate-Schicht und einer leitfähigen Gate-Elektrodenschicht.
  • Nachdem die in 1 gezeigten und mit Bezug auf die in den 212 dargestellten Beispiele weiter veranschaulichten Schritte durchgeführt worden sind, werden Nachfolgeprozesse, einschließlich der Bearbeitung von Verbindungen, durchgeführt, um die Herstellung des Halbleiterbauteils 200 zu vervollständigen. Es konnte beobachtet werden, dass die Kontaktstrukturen 230, welche eine leitfähige dielektrische Schicht 226 aufweisen, einen Pfad mit niedrigem Widerstand für Verbindungen bereitstellen können, so dass die Bauteilleistungsfähigkeit verbessert wird.
  • Gemäß Ausführungsformen weist eine Kontaktstruktur für ein Halbleiterbauteil ein Substrat auf, das aufweist: eine Hauptoberfläche und einen Graben unterhalb der Hauptoberfläche; ein verspanntes Material, das den Graben füllt, wobei sich eine Gitterkonstante des verspannten Materials von einer Gitterkonstante des Substrats unterscheidet; eine Zwischenschicht-Dielektrikums(ILD)-Schicht, die eine Öffnung über dem verspannten Material aufweist, wobei die Öffnung dielektrische Seitenwände und einen verspannten Materialboden aufweist; eine dielektrische Schicht, welche die Seitenwände und den Boden der Öffnung beschichtet, wobei die dielektrische Schicht eine Dicke zwischen ungefähr 1 nm und 10 nm aufweist; und eine Metallschicht, die eine beschichtete Öffnung der dielektrischen Schicht füllt.
  • Gemäß anderen Ausführungsformen weist ein Metalloxidhalbleiterfeldeffekttransistor (MOSFET) ein Substrat auf, das aufweist: eine Hauptoberfläche; einen Gate-Stapel auf der Hauptoberfläche des Substrats; einen Graben unterhalb der Hauptoberfläche angrenzend an den Gate-Stapel; einen Shallow-Trench-Isolations(STI)-Bereich, welcher auf einer Seite des Grabens gegenüber dem Gate-Stapel angeordnet ist, wobei der STI-Bereich innerhalb des Substrats angeordnet ist; und eine Kontaktstruktur, die ein verspanntes Material aufweist, das den Graben füllt, wobei sich eine Gitterkonstante des verspannten Materials von einer Gitterkonstante des Substrats unterscheidet; eine Zwischenschicht-Dielektrikums(ILD)-Schicht, welche eine Öffnung über dem verspannten Material aufweist, wobei die Öffnung dielektrische Seitenwände und einen verspannten Materialboden aufweist; eine dielektrische Schicht, welche die Seitenwände und den Boden der Öffnung beschichtet, wobei die dielektrische Schicht eine Dicke zwischen ungefähr 1 nm und 10 nm aufweist; und eine Metallschicht, welche eine beschichtete Öffnung der dielektrischen Schicht füllt.
  • Gemäß anderen Ausführungsformen weist ein Verfahren für die Herstellung eines Halbleiterbauteils die Schritte auf: Bereitstellen eines Substrats, das eine Hauptoberfläche und einen Graben unterhalb der Hauptoberfläche aufweist; Epi-Aufwachsen eines verspannten Materials in dem Graben, wobei sich eine Gitterkonstante des verspannten Materials von einer Gitterkonstante des Substrats unterscheidet; Ausbilden einer Zwischenschicht-Dielektrikums(ILD)-Schicht über dem verspannten Material; Ausbilden einer Öffnung in der ILD-Schicht, um einen Anteil des verspannten Materials freizulegen; Ausbilden einer ersten Metallschicht, welche das Innere der Öffnung beschichtet und sich über die ILD-Schicht erstreckt; Behandeln der ersten Metallschicht, um eine dielektrische Schicht über dem verspannten Material auszubilden; und Ausbilden einer zweiten Metallschicht in einer beschichteten Öffnung der dielektrischen Schicht.

Claims (10)

  1. Kontaktstruktur für ein Halbleiterbauteil, die aufweist: ein Substrat, das eine Hauptoberfläche und einen Graben unterhalb der Hauptoberfläche aufweist; ein verspanntes Material, das den Graben füllt, wobei sich eine Gitterkonstante des verspannten Materials von einer Gitterkonstante des Substrats unterscheidet; eine Zwischenschicht-Dielektrikums(ILD)-Schicht, die eine Öffnung über dem verspannten Material aufweist, wobei die Öffnung dielektrische Seitenwände und einen verspannten Materialboden aufweist; eine dielektrische Schicht, welche die Seitenwände und den Boden der Öffnung beschichtet, wobei die dielektrische Schicht eine Dicke zwischen 1 nm und 10 nm aufweist; und eine Metallschicht, die eine beschichtete Öffnung der dielektrischen Schicht füllt.
  2. Kontaktstruktur nach Anspruch 1, bei der das verspannte Material Si, Ge, SiGe, SiC, SiP oder III-V-Halbleitermaterial aufweist.
  3. Kontaktstruktur nach Anspruch 1 oder 2, bei der sich das verspannte Material, das den Graben füllt, nach oben über die Hauptoberfläche erstreckt.
  4. Kontaktstruktur nach einem der vorangegangenen Ansprüche, bei der die dielektrische Schicht eines von TiO, TiO2, Ti2O3 oder Al2O3 aufweist, oder aus einem Oxid der Gruppe bestehend aus Zr, Hf, Ta, In, Ni, Be, Mg, Ca, Y, Ba, Sr, Sc, Ga und Mischungen dieser ausgewählt ist.
  5. Kontaktstruktur nach einem der vorangegangenen Ansprüche, bei dem die Metallschicht Ta, Ti, Hf, Zr, Ni, W, Co, Cu oder Al aufweist.
  6. Metalloxidhalbleiterfeldeffekttransistor (MOSFET), der aufweist: ein Substrat, das eine Hauptoberfläche aufweist; einen Gate-Stapel auf der Hauptoberfläche des Substrats; einen Graben unterhalb der Hauptoberfläche angrenzend an den Gate-Stapel; einen Shallow-Trench-Isolation(STI)-Bereich, der auf einer Seite des Grabens gegenüber dem Gate-Stapel angeordnet ist, wobei der STI-Bereich innerhalb des Substrats angeordnet ist; und eine Kontaktstruktur gemäß einem der vorangegangenen Ansprüche.
  7. Verfahren für die Herstellung eines Halbleiterbauteils, das aufweist: Bereitstellen eines Substrats, das eine Hauptoberfläche und einen Graben unterhalb der Hauptoberfläche aufweist; Epi-Aufwachsen eines verspannten Materials in dem Graben, wobei sich eine Gitterkonstante des verspannten Materials von einer Gitterkonstante des Substrats unterscheidet; Ausbilden einer Zwischenschicht-Dielektrikums-(ILD)-Schicht über dem verspannten Material; Ausbilden einer Öffnung in der ILD-Schicht, um einen Anteil des verspannten Materials freizulegen; Ausbilden einer ersten Metallschicht, die das Innere der Öffnung beschichtet und sich über die ILD-Schicht erstreckt; Behandeln der ersten Metallschicht, um eine dielektrische Schicht über dem verspannten Material auszubilden; und Ausbilden einer zweiten Metallschicht in einer beschichteten Öffnung der dielektrischen Schicht.
  8. Verfahren nach Anspruch 7, bei dem der Behandlungsschritt der ersten Metallschicht durch Aussetzen einer Oberfläche der ersten Metallschicht einer Sauerstoff enthaltenden Umgebung durchgeführt wird, wobei die Sauerstoff enthaltende Umgebung vorzugsweise H2O, O2 oder O3 aufweist.
  9. Verfahren nach Anspruch 8, bei dem der Behandlungsschritt der ersten Metallschicht weiterhin aufweist: Aussetzen der Oberfläche der ersten Metallschicht einem Inertgas nach dem Aussetzen der Oberfläche der ersten Metallschicht einer Sauerstoff enthaltenden Umgebung, wobei das Inertgas vorzugsweise N2, He oder Ar aufweist.
  10. Verfahren nach Anspruch 9, bei dem das Aussetzen der Oberfläche der ersten Metallschicht einem Inertgas bei einer Temperatur von ungefähr 200°C bis ungefähr 800°C durchgeführt wird.
DE201310100029 2012-09-27 2013-01-03 Kontaktstruktur für ein Halbleiterbauteil und ein MOSFET diese aufweisend und ein Herstellungsverfahren dafür Active DE102013100029B3 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13/629,109 2012-09-27
US13/629,109 US9105490B2 (en) 2012-09-27 2012-09-27 Contact structure of semiconductor device
US13/672,258 US8823065B2 (en) 2012-11-08 2012-11-08 Contact structure of semiconductor device
US13/672,258 2012-11-08

Publications (1)

Publication Number Publication Date
DE102013100029B3 true DE102013100029B3 (de) 2014-01-23

Family

ID=49880096

Family Applications (1)

Application Number Title Priority Date Filing Date
DE201310100029 Active DE102013100029B3 (de) 2012-09-27 2013-01-03 Kontaktstruktur für ein Halbleiterbauteil und ein MOSFET diese aufweisend und ein Herstellungsverfahren dafür

Country Status (1)

Country Link
DE (1) DE102013100029B3 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015142438A3 (en) * 2014-03-19 2015-12-17 Qualcomm Incorporated Methods of forming a metal-insulator-semiconductor (mis) structure and a dual contact device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100123198A1 (en) * 2008-11-20 2010-05-20 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US20100200928A1 (en) * 2009-02-12 2010-08-12 Renesas Technology Corp. Semiconductor device, and manufacturing method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100123198A1 (en) * 2008-11-20 2010-05-20 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US20100200928A1 (en) * 2009-02-12 2010-08-12 Renesas Technology Corp. Semiconductor device, and manufacturing method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015142438A3 (en) * 2014-03-19 2015-12-17 Qualcomm Incorporated Methods of forming a metal-insulator-semiconductor (mis) structure and a dual contact device

Similar Documents

Publication Publication Date Title
US11574907B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
DE102015106608B4 (de) FinFET-Wärmeschutzverfahren und verwandte Strukturen
KR101455478B1 (ko) 반도체 디바이스의 접촉 구조
DE102018107997B4 (de) Selektive abdeckprozesse und dadurch ausgebildete strukturen
DE102014115586B4 (de) Integrierte Schaltkreisstruktur mit Substratisolation und undotiertem Kanal
DE102012110642B3 (de) Kontaktstruktur einer Halbleitereinrichtung, ein pMOSFET diese enthaltend und ein Verfahren zu deren Herstellung
US9590102B2 (en) Semiconductor device and manufacturing method thereof
CN104681615B (zh) 用于具有掩埋SiGe氧化物的FinFET器件的结构和方法
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE102012111082B4 (de) Gate-Stapel eines Fin-Feldeffekttransistors
DE102019116996A1 (de) Halbleiterstruktur mit luftspalt und verfahren zum abdichten des luftspalts
DE102017128577B4 (de) Ätzprofilsteuerung von polysiliziumstrukturen von halbleitervorrichtungen
DE102017127095B4 (de) Gesteuerte luftspalte unter kontaktmerkmalen zwischen finnen von finfets
DE102012102783A1 (de) Rippenfeldeffekttransistoren und Verfahren zur Herstellung derselben
DE102012204516A1 (de) FinFET-Vorrichtung und Herstellungsverfahren für dieselbe
KR20140059690A (ko) 반도체 디바이스의 컨택 구조물
DE102015108690A1 (de) Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren
DE102014109562A1 (de) Kontaktstrukturen und Verfahren ihrer Ausbildung
DE102017117863B4 (de) Integrierte Schaltung mit einer Gatestruktur und Herstellungsverfahren
DE102017127708A1 (de) Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung
DE102020115430A1 (de) P-metall-gate-first-gate-ersetzungsprozess für mehrfachgate-vorrichtungen
DE102018119795B4 (de) Spannungsmodulation für dielektrische Schichten
DE102016116472A1 (de) Halbleitervorrichtung und herstellungsverfahren davon
DE102019113052A1 (de) Halbleiterbauelement und verfahren
DE102017123948B4 (de) Umschlossene epitaxiale struktur und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R083 Amendment of/additions to inventor(s)
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R020 Patent grant now final

Effective date: 20141024