TW202113943A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202113943A
TW202113943A TW109125716A TW109125716A TW202113943A TW 202113943 A TW202113943 A TW 202113943A TW 109125716 A TW109125716 A TW 109125716A TW 109125716 A TW109125716 A TW 109125716A TW 202113943 A TW202113943 A TW 202113943A
Authority
TW
Taiwan
Prior art keywords
fin
strain
type
effect transistor
implantation
Prior art date
Application number
TW109125716A
Other languages
English (en)
Other versions
TWI834903B (zh
Inventor
林育樟
張添舜
聶俊峰
張惠政
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202113943A publication Critical patent/TW202113943A/zh
Application granted granted Critical
Publication of TWI834903B publication Critical patent/TWI834903B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • H01L21/2253Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

提供的鰭狀場效電晶體裝置包括通道區,其包含成分元素與多餘原子,且成分元素屬於元素週期表的一族,其中多餘原子為氮或屬於元素週期表的該族,以及通道區中的多餘原子濃度介於約1019 cm-3 至約1020 cm-3 之間。

Description

半導體裝置
本發明實施例一般關於半導體製造,更特別關於採用離子佈植的裝置與其製造方法。
在半導體產業的最近發展中,採用鰭狀場效電晶體取代平面電晶體。在鰭狀場效電晶體中,電晶體通道實質上形成於大高寬比的結構(通常稱作鰭狀物)中。鰭狀場效電晶體的閘極不只覆蓋鰭狀物的頂部,還覆蓋鰭狀物的側部。此設置比平面電晶體具有多種優點,包括在相同效能下的可信度更高且更能控制通道反轉。
在一實施例中,半導體裝置包括電晶體以及與電晶體相鄰的隔離區。電晶體具有通道區,通道區包含成分元素與多餘原子,且成分元素屬於元素週期表的一族,其中多餘原子為氮,或屬於元素週期表的該族。通道區中的多餘原子之濃度介於約1019 cm-3 至約1021 cm-3 之間。隔離區亦含有多餘原子,且隔離區中的多餘原子之濃度介於約1020 cm-3 至約1021 cm-3 之間。
在一實施例中,半導體裝置包括閘極,其中閘極的上側寬度比閘極的下側寬度大超過1 nm,通道區,包含成分元素與多餘原子,成分元素屬於元素週期表的一族,而多餘原子為氮或屬於元素週期表的該族,以及通道區中的多餘原子濃度介於約1019 cm-3 至約1021 cm-3 之間。
在一實施例中,鰭狀場效電晶體包含的通道區包括的成分元素屬於元素週期表的一族,且鰭狀場效電晶體的製作方法包括:將通道遮罩結構置於鰭狀物的第一部分上以覆蓋鰭狀物的第一部分,通道遮罩結構未覆蓋鰭狀物的第二部分,且鰭狀物的第一部分包括通道區的至少一部分,進行氮或屬於元素週期表的該族之元素的第一離子佈植,以及退火第一離子佈植。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件、與配置的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
此處的用語「 IV族半導體元素」實質上為元素週期表的IVB族的單一元素所組成的任何半導體元素,比如矽或鍺。同樣地,用語「 IV族半導體化合物」實質上為元素週期表的IVA族元素組成的任何半導體化合物,包括矽鍺、碳化矽鍺、或碳化矽,不論化合物的組成固定或隨位置變化。此外,用語「III-V族半導體化合物」實質上為元素週期表的IIIA族和VA族的元素所組成的任何半導體化合物,包括砷化鎵、砷化銦鎵、或類似物。另外,化學式Si1-x Gex 表示純或實質上純矽,純或實質上純鍺、或任何組成的矽鍺,不論其組成固定或隨位置變化。在鍺含量x為零的情況下,Si1-x Gex 為純矽或實質上純矽。在鍺含量x為1或100%時,Si1-x Gex 為純鍺或實質上純鍺。
此處的用語「 p-FinFET」與「n-FinFET」分別為n型與p型鰭狀場效電晶體。此外,用語「源極-汲極」旨在表示源極或汲極。舉例來說,源極-汲極區作為或打算作為電晶體的源極或汲極的區域。用語「佈植物種」指的是佈植元素,而用語「佈植氣體」指的是離子佈植機中用於佈植物種的氣體。舉例來說,佈植氣體四氟化矽可用於佈植佈植物種Si。應變或應力可由圖式中的箭頭表示。然而箭頭的長度或寬度並非用於表示應力或應變的絕對或相對強度,除非在說明書中另外明確說明。
在半導體製造製程中,可在離子佈植步驟後進行一或多道退火步驟。此處在離子佈植步驟之後,比任何其他退火步驟更早發生的退火步驟,可視作對離子佈植進行退火。
此處揭露的一些實施例說明鰭狀場效電晶體的製造製程,但揭露的製程亦可應用於其他結構。圖1係鰭狀場效電晶體的製造製程的階段中,含有兩個鰭狀場效電晶體通道的結構之選定單元的簡化透視圖。在圖1所示的製造製程的階段中,鰭狀物110形成於基板120上,且隔離區130使鰭狀物110彼此分隔並與其他鰭狀物(未圖示)分隔。此外,虛置閘極堆疊140沿著鰭狀物110的側壁並位於鰭狀物110的上表面上。兩個電晶體通道區可形成於鰭狀物110被虛置閘極堆疊140覆蓋的部分中。如圖1所示,任何製造製程階段中的剖線A-A沿著垂直於基板120的平面。類似地,任何製造製程階段中的剖線B-B沿著垂直於基板120的平面。類似地,任何製造製程階段中的剖線C-C沿著垂直於基板120的平面。圖2A至6A與8A至12A參考圖1所示的剖線A-A,而圖2B至6B與8B至12B參考圖1所示的剖線B-B。
圖2A至4B係鰭狀場效電晶體的製造製程之多種階段中,選定的鰭狀場效電晶體結構之簡化剖視圖。如圖2A與2B所示,製造製程的例子由基板120開始。基板120可為基體半導體基板、絕緣層上半導體基板、絕緣基板、或類似物。機體半導體基板可為矽或半導體化合物,其可摻雜或未摻雜。絕緣層上半導體基板可包含半導體材料層形成於絕緣層上,絕緣層可為埋置氧化物層、氧化矽層、或類似物,且絕緣層可位於下方基板如矽基板或玻璃基板上。
在圖2A與2B所示的製造製程中,鰭狀物110所用的材料層可位於基板120上。在多種例子中,鰭狀物110所用的材料可包含一或多個半導體層沉積於基板120上,且沉積方法可為磊晶。鰭狀物110所用的材料組成可與下方的基體材料不同,其可未摻雜或在成長時或成長後摻雜。舉例來說,鰭狀物110所用的材料可為或包括矽、矽鍺、純或實質上純鍺、碳化矽、III-V族半導體化合物、II-VI族半導體化合物、或類似物。
此處預期在其他製造製程中,不特別沉積材料以用於鰭狀物110,且鰭狀物110可由基板120產生。在這些製程中,多種圖式中的鰭狀物110與基板120所用的材料之間的線條並不表示材料性質的改變,而是表示完成製造鰭狀物後的鰭狀物110之近似底部。
在圖3A與3B中,鰭狀物110位於基板120上。可由任何合適方法圖案化鰭狀物。舉例來說,可採用一或多到光微影製程圖案化鰭狀物,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,可形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。可採用自對準製程沿著圖案化犧牲層的側部形成間隔物。接著可移除犧牲層,且保留的間隔物可用於圖案化鰭狀物。
鰭狀物可由多種方法產生,包括蝕刻基版上的層狀物的區域,或沉積鰭狀物材料至窄溝槽中。在製程中可蝕刻層狀物的區域以產生鰭狀物,且鰭狀物圖案可轉移至一或多個下方的遮罩層。這些遮罩層可為或包括氮化矽、氮氧化矽、碳化矽、碳氮化矽、類似物、或上述之組合。接著可採用非等向蝕刻移除鰭狀物區域以外的材料。
在圖4A與4B中,隔離區130位於鰭狀物110之間。隔離區130可包含或可為絕緣材料如氧化矽、氮化矽、類似物、或上述之組合,且其形成方法可為合適的沉積製程。舉例來說,隔離區130可包含可流動的化學氣相沉積製程所形成的氧化矽。亦可採用任何可接受的製程所形成的其他絕緣材料。可採用平坦化製程如化學機械研磨移除多餘材料,使絕緣材料與鰭狀物110的上表面共平面。接著可使絕緣材料凹陷以形成隔離區130,使鰭狀物110自隔離區130之間凸起。絕緣材料的凹陷方法可採用任何可接受的蝕刻製程,比如對絕緣材料具有選擇性的蝕刻製程。隔離區130的上表面可平坦如圖示、凸起、凹陷、或存在更複雜的形狀,端視製程細節而定。
在其他的製造製程中,鰭狀物的形成方法為沉積鰭狀物材料至窄溝槽中,其比上述製程複雜且關於未圖示的額外結構。在製程的一例中,可形成介電層於半導體的基板120的上表面上,可蝕刻穿過介電層以形成溝槽,可磊晶成長鰭狀物材料於溝槽中,且可使介電層凹陷以讓鰭狀物材料自介電層凸起並形成鰭狀物。磊晶材料可與基板相同或不同,其可未摻雜或在成長時或成長後摻雜。在這些製程中,分別成長n型與p型鰭狀場效電晶體所用的不同材料特別有利,比如可最大化每一種裝置型態中的載子移動率。舉例來說,鰭狀物或其部分的組成可為矽鍺、碳化矽、純或實質上純鍺、III-V族半導體化合物、II-VI族半導體化合物、或類似物。形成III-V族半導體化合物所用的可行材料包含但不限於砷化鎵、砷化銦、砷化銦鎵、砷化鋁、砷化銦鋁、磷化銦、氮化鎵、銻化鎵、銻化鋁、磷化鋁、磷化鎵、或類似物。
可形成合適井區(未圖示)於鰭狀物110及/或基板120中。舉例來說,可在即將形成n型鰭狀場效電晶體處形成p型井、可在即將形成p型鰭狀場效電晶體處形成n型井、或可形成p型井與n型井。可由離子佈植提供p型井與n型井所用的摻雜。綜上所述,鰭狀物110、隔離區130、基板120、與存在於基板上的任何其他結構在井區佈植時,可含有來自井區佈植的摻質。用於形成n型井的摻質可為磷、砷、銻、或類似物。用於形成p型井的摻質可為硼、二氟化硼、銦、或類似物。可進行一或多道退火步驟以活化佈植的摻質。在一些製程中,可在結晶成長或沉積時摻雜基板及/或鰭狀物的材料,且可不必進行井區佈植。
圖5A與5B係鰭狀場效電晶體的製造製程之輕摻雜汲極製程順序之前的選定結構的簡化剖視圖,如下所述。圖1所示的虛置閘極堆疊140可包含虛置閘極510與一或多個額外層如氮化矽層520與氧化物層530。此外,第一閘極介電層(未圖示)可位於鰭狀物110與虛置閘極510之間。第一閘極介電層可包含或可為氧化矽、氮化矽、高介電常數的介電層、類似物、或上述之多層,且其形成方法可為熱成長及/或化學或順應性的沉積(由合適的沉積技術)。一旦完成製造製程,鰭狀物110的通道區550可作為鰭狀場效電晶體的通道。在一些製造製程的例子中,第一間隔物540可位於鰭狀物110與虛置閘極堆疊140的側部上。第一間隔物540可為或包含氮化矽、氧化矽、氮氧化矽、或類似物。在一實施例中,第一間隔物540為氮化矽。
在圖5A中,鰭狀場效電晶體具有通道長度方向560。此外,傾斜角度565指的是在鰭狀場效電晶體的通道長度方向中的傾斜角度。圖5B亦顯示鰭狀物寬度方向570。傾斜角度575指的是在鰭狀物寬度方向中的傾斜角度。可傾斜地進行離子佈植,並產生對稱的佈植輪廓於對稱結構的兩側上,且通常以兩個步驟進行佈植。相對於垂直於基板的平面,以正值與負值的傾斜角佈植總劑量的兩半。在無傾斜角度的離子佈植中,以單一步驟佈植總劑量。
輕摻雜汲極製程可包含n型輕摻雜汲極製程順序及/或p型輕摻雜汲極製程順序。在n型輕摻雜汲極製程順序的例子中,可依據n型輕摻雜汲極圖案沉積並圖案化遮罩層如光阻層,以覆蓋p型鰭狀場效電晶體結構並露出n型鰭狀場效電晶體結構。接著佈植n型摻質以形成n型鰭狀場效電晶體所用的n型輕摻雜汲極區,其可作為予體。舉例來說,可佈植磷、砷、銻、或其他n型摻質的一或多者作為n型輕摻雜汲極摻質,以形成n型輕摻雜汲極區。此佈植可視作n型輕摻雜汲極佈植。在n型輕摻雜汲極佈植之後,可移除圖案化光阻層並進行n型輕摻雜汲極退火以活化摻質。在p型輕摻雜汲極製程順序中,圖案化的遮罩層(比如依據p型輕摻雜汲極圖案沉積與圖案化的光阻層)可覆蓋n型鰭狀場效電晶體結構並露出p型鰭狀場效電晶體結構。接著佈植p型摻質以形成p型鰭狀場效電晶體所用的p型輕摻雜汲極區,其可作為受體。舉例來說,可佈植硼、二氟化硼、銦、或其他p型摻質的一或多者作為p型輕摻雜汲極摻質,以形成p型輕摻雜汲極區。此佈植可視作p型輕摻雜汲極佈植。在p型輕摻雜汲極佈植之後,可移除圖案化光阻層並進行p型輕摻雜汲極退火以活化摻質。在無輕摻雜汲極佈植時,電晶體的通道與源極/汲極區之間的摻雜濃度變化劇烈,會產生有害的熱電子。輕摻雜汲極佈植通常用於使通道與源極/汲極區之間的摻質濃度變化更平緩。可在p型輕摻雜汲極製程順序之前或之後,進行n型輕摻雜汲極製程順序。此外,可在n型輕摻雜汲極與p型輕摻雜汲極的製程順序之間進行其他製程步驟。舉例來說,在n型輕摻雜汲極製程順序之後與p型輕摻雜汲極製程順序之前,可形成側壁以有利地影響p型輕摻雜汲極摻值的位置。此外,為了取代分開的n型輕摻雜汲極與p型輕摻雜汲極退火步驟,可採用單一的輕摻雜汲極退火活化p型輕摻雜汲極與n型輕摻雜汲極的摻質。在多種製程的例子中,一或多個退火步驟可為快速熱退火、峰值退火、雷射退火、或類似步驟。用語中等摻雜汲極、n型中等摻雜汲極、與p型中等摻雜汲極有時可用於取代用語輕摻雜汲極、n型輕摻雜汲極、與p型輕摻雜汲極,以表示給定製程中所用的摻雜濃度略高於過去技術世代所用的歷史性低等級。亦可採用其他用語如汲極延伸。
在此處所述的實施例中,進行離子佈植可有利地影響鰭狀場效電晶體通道區中的應變。此佈植在此處可視作應變佈植。
圖6A與6B係p型輕摻雜汲極製程順序中的應變佈植後的選定p型鰭狀場效電晶體結構的簡化剖視圖。在此實施例中,在p型輕摻雜汲極光阻圖案存在下進行應變佈植。因此此實施例的優點為不需額外的圖案化步驟,可降低成本與製程複雜度。此外,虛置閘極堆疊140與第一間隔物540的組合可作為佈植所用的遮罩結構。在多種實施例中,可在p型輕摻雜汲極製程順序中進行的一或多道p型輕摻雜汲極佈植的任一者之前或之後,進行應變佈植。在一實施例中,鰭狀物110所用的材料為矽,而應變佈植所用的佈植物種亦為矽。舉例來說,可採用四氟化矽作為佈植氣體以佈植矽。佈植物種進入鰭狀物110的一些上側鰭狀物區610、隔離區130的一些上側隔離區630、以及虛置閘極堆疊140的上側堆疊區640。進入虛置閘極堆疊140之側壁與鰭狀物110之側壁上的第一間隔物540之部分的任何佈植物種,並未圖示於此以簡化圖式。
在一實施例中,採用單一的退火步驟退火p型輕摻雜汲極與應變佈植。此實施例具有優點,因為不需額外的退火步驟以用於應變佈植。在一實施例中,可採用850℃的單一峰值快速熱退火作為p型輕摻雜汲極與應變佈植所用的一般退火。退火步驟具有許多效果。首先,退火時的佈植物種自佈植區向外擴散至相鄰區域中。若進行應變佈植時不傾斜如圖6A與6B所示的垂直箭頭,則佈植物種將被虛置閘極堆疊140與位於虛置閘極堆疊140之側壁上的第一間隔物540的部分阻擋而不能進入通道區550,除非橫向散佈。在退火時,佈植物種可朝通道區550擴散並擴散其中。若應變佈植在通道長度的方向中採用傾斜角度,較大量的佈植物種可在退火之前進入較深的通道區550中,接著在退火時可進一步擴散於通道區中。因此佈植物種進入通道區550的量取決於參數如佈植傾斜角度與退火的時間及溫度。類似地,佈植至隔離區130的上側部分中的矽原子,朝鰭狀物110的下側鰭狀物部分655擴散其中。此外,佈植至隔離區130的上側部分中的矽原子可擴散至閘極堆疊(未圖示於圖6A與6B的剖視圖中)下的隔離區130之未佈植區。再者,許多佈植物種在退火鍵結至相鄰原子之前占據間隙位置,因此在退火時可結合至結晶結構中,進而增加佈植區中的應變等級,並因此增加施加至相鄰區域的應力等級。三者,退火步驟可部分修復應變佈植所造成的顯著結晶損傷。
矽的應變佈植產生壓縮應變於p型鰭狀場效電晶體的通道區550中。此壓縮應變625產生在圖6A的剖面中,在上側鰭狀物區610下的強度減少,且實質上在通道長度的方向中。此應變的優點之一為改善p型鰭狀場效電晶體的效能,因為沿著通道長度方向的壓縮應便可增加電洞遷移率。上側隔離區630中的應變佈植亦產生應變,但此應變主要發生在低於通道區550的區域中。由於主要的電流發生在通道區中,上側隔離區630中的應變佈植對電晶體效能的影響較小。然而上側隔離區630中的應變佈植所造成的應變分量將簡述於此。在簡化的二維說明中,可分辨這兩種應變分量。第一壓縮應變分量發生的方向實質上沿著鰭狀物寬度,並在其存在的區域中降低電洞遷移率。應變分量(如圖6B所示的應變分量633與圖6A所示的應變分量635)存在於圖6B的剖面中,並存在於圖6A的剖面之前與之後。此外,鰭狀物材料被圖6A中向內與向外的方向壓縮,其來自於圖6A之剖面之後的上側隔離區630與圖6A之剖面之前的上側隔離區630。第二壓縮應變分量產生的方向實質上沿著通道長度,且於其存在的區域中增加電洞遷移率以抵銷第一分量。圖6A所示的應變分量638存在於圖6A的剖面之前與之後。
上述的應力分量將進一步圖示於平面圖中。圖7係p型輕摻雜汲極製程順序中的應變佈植後的p型鰭狀場效電晶體結構中的應力分量平面圖。隔離區130隔離鰭狀物110,其被虛置閘極堆疊140依序覆蓋。圖7的剖線A-A與C-C分別對應圖1中的剖線A-A與C-C。鰭狀場向電晶體通道中的壓縮應變625來自於鰭狀物110的上側區域中的應變佈植,其可增加電洞遷移率並增進電晶體效能。值得注意的是圖7中的壓縮應變625在平面圖中為虛線,應理解應變發生在鰭狀物110的通道區,而非虛置閘極堆疊140的頂部。壓縮的應變分量633與638發生在低於通道550的區域中,但對電晶體效能的影響較小。應理解的是在靠近隔離區130之佈植區的角落710之位置,總應變的分量方向如應變分量633與638反映此處所述的雙方向之簡化性質。
如圖6A所示,一實施例之應變佈植的另一優點為加大上側堆疊區640。舉例來說,一些實施例之閘極堆疊的頂部寬度可加大約6%至約10%。在上側堆疊區640中的應變佈植施加的應力下,第一間隔物540位於虛置閘極堆疊140的側壁上的部分向外傾斜。雖然圖6A顯示第一間隔物540的傾斜為實質上線性,其可為非線性的形狀。加大上側堆疊區640可提供許多優點。這些優點將搭配圖11A與12A說明如下。
圖8A與8B係鰭狀場效電晶體的製造製程中使源極/汲極凹陷後的選定p型鰭狀場效電晶體結構的簡化剖視圖,且鰭狀場效電晶體的製造製程包括p型輕摻雜汲極製程順序中的應變佈植。在製造製程的此階段之前,可將第二間隔物830置於虛置閘極堆疊140之側壁上的第一間隔物540之上以及鰭狀物之上。由於第二間隔物830位於第一間隔物540上,此應變佈植的實施例中的第二間隔物830位於虛置閘極堆疊140之側壁上的部分可向外傾斜。
由於遮罩順序覆蓋n型鰭狀場效電晶體且露出p型鰭狀場效電晶體,鰭狀物110的區域810被向下移除到凹陷水平820。亦可移除第一間隔物540與第二間隔物830的部分。在後續製程步驟之後,區域810可作為p型鰭狀場效電晶體所用的源極或汲極。此實施例的優點在於使源極/汲極凹陷的步驟可移除區域810中的結晶損傷,其來自於應變佈植且保留於退火之後。
在佈植與退火製程時,佈植物種進入通道區550,而鰭狀物110的任何部分中的佈植物種維持在區域810與通道區之間。源極/汲極的凹陷製程並未移除上述佈植物種。佈植物種可提供壓縮應變,因此增進通道區中的遷移率。在一些製造製程中,閘極堆疊未覆蓋鰭狀物110的自由完全鰭狀物區840,且使源極/汲極凹陷的步驟不移除自由完全鰭狀物區840。此區域視作自由完全鰭狀物區,其於應變佈植步驟時含有實質上所有佈植其中的佈植物種。藉由此製造製程,上側隔離區630的部分可能已移除,造成通道區550下的區域中的應變分量降低。在一些實施例中,可實質上或完全移除上側隔離區630。
圖9A與9B係鰭狀場效電晶體的製造製程中沉積第一層間介電層後的選定p型鰭狀場效電晶體結構的簡化剖視圖,且鰭狀場效電晶體的製造製程包括p型輕摻雜汲極製程順序中的應變佈植。p型鰭狀場效電晶體的源極/汲極結構910產生在圖8A的區域810中,其產生方法可為磊晶成長。如圖9B所示,成長於相鄰的鰭狀物110之上的磊晶的源極/汲極結構可合併形成相連結構,而氣隙920形成於相連結構之下。在成長時或成長之後,可摻雜源極/汲極區,其摻質濃度可介於約1019 cm-3 至約1021 cm-3 之間。用於形成n型鰭狀場效電晶體的源極/汲極區之摻質可為磷、砷、銻、或類似物,而用於形成p型鰭狀場效電晶體的源極/汲極區之摻質可為硼、二氟化硼、銦、或類似物。
在一些實施例中,源極/汲極結構910所用的材料可與鰭狀物110的材料實質上相同。在其他實施例中,可選擇不同材料以施加壓縮應力於通道區550上。綜上所述,若鰭狀物110為矽,源極/汲極結構910可包含矽、矽鍺、鍺、硼化矽鍺、鍺錫、或類似物。在這些實施例中,通道區550中的總應變可來自應變佈植與源極/汲極結構910。因此應變佈植可增強因源極/汲極區施加應力所改善的遷移率。
可採用類似方法產生n型鰭狀場效電晶體所用的源極/汲極結構。在一些實施例中,源極/汲極結構所用的材料可與鰭狀物的材料實質上相同。在其他實施例中,可選擇不同材料以施加拉伸應力於n型鰭狀場效電晶體的通道區上。綜上所述,若鰭狀物為矽,源極/汲極區可包含矽、碳化矽、磷化矽、碳磷化矽、或類似物。在含有n型鰭狀場效電晶體所用的應變佈植之實施例中,n型鰭狀場效電晶體通道區中的總應變可來自於應變佈植與n型鰭狀場效電晶體的源極/汲極區。因此應變佈植可增強因源極/汲極區施加應力所改善的遷移率。
在製造源極/汲極區之後,可沉積第一層間介電層940於鰭狀場效電晶體結構上。第一層間介電層940可為或包含磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,且其沉積方法可為任何合適方法如化學氣相沉積、電漿輔助化學氣相沉積、或可流動的化學氣相沉積。一些實施例在沉積第一層間介電層之前,沉積接點蝕刻停止層930於鰭狀場效電晶體結構上。接點蝕刻停止層930可包含介電材料如氮化矽、氧化矽、氮氧化矽、或類似物,其蝕刻速率與上方的第一層間介電層940之材料不同。在應變佈植的一實施例中,位於虛置閘極堆疊140之側壁上的接點蝕刻停止層930的部分可向外傾斜。
圖10A與10B係鰭狀場效電晶體的製造製程中移除閘極堆疊後的選定p型鰭狀場效電晶體結構的簡化剖視圖,且鰭狀場效電晶體的製造製程包括p型輕摻雜汲極製程順序中的應變佈植。可進行平坦化製程如如化學機械研磨以平坦化晶圓的上表面,並準備移除虛置閘極堆疊140。平坦化製程可止於虛置閘極堆疊140的上表面使虛置閘極堆疊140實質上保持完整,或可移除虛置閘極堆疊140的上側部分以及沿著虛置閘極堆疊140的側壁之第一間隔物540與第二間隔物830的上側部分。接著可移除平坦化步驟後殘留的虛置閘極堆疊140之任何材料,以留下由第一間隔物540界定其側部的空洞1010,且移除方法可為選擇性蝕刻。對所有電晶體或預定操作於特定電壓範圍的電晶體而言,可保留位於鰭狀物110上的第一閘極介電層(未圖示),或自空洞1010完全或部分移除第一閘極介電層。在應變佈植的一實施例中,空洞1010的頂部寬度1020大於底部寬度1030。
圖11A與11B係鰭狀場效電晶體的製造製程中形成置換閘極後的選定p型鰭狀場效電晶體結構的簡化剖視圖,且鰭狀場效電晶體的製造製程包括p型輕摻雜汲極製程順序中的應變佈植。第二閘極介電層110可位於空洞1010中。第二閘極介電層1110可順應性地沉積於晶圓上,其可包含氧化矽、氮化矽、或上述之多層。在一些製造製程中,第二閘極介電層1110可包含高介電常數的介電材料,其介電常數大於約7.0,且可包含鉿、鋁、鋯、鑭、鎂、鋇、鈦、鉛、或上述之組合的金屬氧化物或矽酸鹽。第二閘極介電層1110的沉積方法可為分子束沉積、原子層沉積、電漿輔助化學氣相沉積、或類似方法。此實施例的應變佈植之優點為第一間隔物540的傾斜輪廓,有利於順應性沉積第二閘極介電層1110。第二閘極介電層1110亦向外傾斜。
在填充製程中,可沉積置換閘極1120於第二閘極介電層1110上,其可包括含金屬材料如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、上述之組合、或上述之多層。雖然圖11A未圖示置換閘極1120的細節,置換閘極1120可包含任何數目的襯墊層與功函數調整層。如圖10A所示,p型輕摻雜汲極製程順序中的應變佈植的另一優點為空洞1010的頂部寬度1020加大,其可增加相同深度的置換閘極1120之整體體積,進而有利於降低置換閘極的電阻。減少閘極電阻可增加電路速度。在另一優點中,加大的頂部寬度1020有利於填充製程,可減少任何尺寸的空洞形成於置換閘極中的可能性。空洞是有害的,因為空洞會增加閘極電阻並劣化電路速度。
在沉積置換閘極1120所用的材料之後,可由平坦化步驟移除第二閘極介電層1110與置換閘極1120沉積於第一層間介電層940之上表面上的部分,以得圖11A與11B所示的結構。此處預期在一些製造製程中,n型鰭狀場效電晶體與p型鰭狀場效電晶體所用的置換閘極與相關的介電層可能不同。在這些製程中,可採用合適遮罩方法以沉積n型鰭狀場效電晶體與p型鰭狀場效電晶體所用的不同材料。
圖12A與12B係鰭狀場效電晶體的製造製程中形成接點後的選定p型鰭狀場效電晶體結構的簡化剖視圖,且鰭狀場效電晶體的製造製程包括p型輕摻雜汲極製程順序中的應變佈植。第二層間介電層1210沉積於第一層間介電層940上。第二層間介電層1210可為介電材料如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,其可為可流動性,且其沉積方法可為任何合適方法如化學氣相沉積、電漿輔助化學氣相沉積、或可流動的化學氣相沉積。源極/汲極接點1220所用的開口可穿過第二層間介電層1210、第一層間介電層940、與接點蝕刻停止層930。在一些製造製程中,閘極蓋(未圖示)可存在於置換閘極1120上。閘極接點1230所用的開口穿過第二層間介電層1210並穿過閘極蓋(若存在)。開口的形成方法可採用可接受的光微影與蝕刻技術。可形成一或多個襯墊層(未圖示)如擴散阻障層、黏著層、或類似層於開口中。襯墊層可包含鈦、氮化鈦、鉭、氮化鉭、或類似物。源極/汲極接點1220與閘極接點1230所用的導電材料可位於個別開口中。接點所用的導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳、或類似物。接著可進行平坦化製程如化學機械研磨,以自第二層間介電層1210的表面移除多餘材料。可進行退火製程以形成矽化物於源極/汲極結構910與源極/汲極接點1220之間的界面。可在分開的製程順序中形成源極/汲極接點1220與閘極接點1230,或在相同的製程順序中形成源極/汲極接點1220與閘極接點1230。
雖然源極/汲極接點1220與閘極接點1230均存在於圖12A所示的剖面中,氮應理解一些製造製程中的一些或所有接點不會存在於圖12A的剖面中,而是在圖12A的剖面之前或之後。此外,圖12A與12B所示的源極/汲極接點1220與閘極接點1230之傾斜側壁,並不表示其與圖式中的其他結構之傾斜側壁(如置換閘極1120的側壁)之間具有任何相等或不相等的關係。
此實施例的應變佈植可具有兩個額外優點,其將搭配圖12A說明。首先,置換閘極1120的上側寬度1240大於下側寬度1250。較大的上側寬度1240可用於置換閘極1120與閘極接點1230之間的電性連接,若閘極接點1230亦具對應尺寸,則有利於降低連接電阻。再者,內連線層(未圖示)通常沉積於第二層間介電層1210上,使多種末端的內連線可形成電路。由於此實施例有利於置換閘極1120所用的填充製程,其能產生較高的閘極並因此加大一側的內連線層與另一側的基板與鰭狀場效電晶體結構之間的垂直距離。較大的垂直距離可減少結構之間的電容。在一些狀況中,利用此機會降低電容可淨增電路速度。
由於形成接點後的製程步驟主要關於形成結構於第二層間介電層1210上,圖12A與12B係完成電路製造製程後的選定p型鰭狀場效電晶體結構的剖視圖。如圖12B所示,應力佈植的原子可位於通道區550中、自由完全鰭狀物區840中、保留於源極/汲極結構910與通道區550之間的鰭狀物的任何部分(未圖示)中。如圖12B所示,應力佈植的原子可位於上側隔離區630中。區域的成分元素原子位於其中。材料的成分元素在此定義為存在於材料中的任何元素,其原子濃度占約20%以上的材料。材料成分的原子在此處可視作成分原子。舉例來說,若通道區550為Si0.8 Ge0.2 ,其成分的矽與鍺原子位於通道中且比例為約4:1。若通道區的成分在垂直方向中非定值,則成分矽與鍺原子的垂直濃度輪廓將反映組成的垂直輪廓。類似地,在實質上化學計量的二氧化矽所構成的隔離區中,位於隔離區中的成分矽與氧原子比例為約1:2。此外,予體或受體可位於這些區域中。舉例來說,在p型鰭狀場效電晶體的通道區,摻質如硼或銦可位於其中。如上述強調的內容,在應變佈植的實施例中,來自應變佈植的原子亦可位於多種區域中。這些原子在應變佈植時進入區域,或在後續退火時擴散至區域中,在此處視作多餘原子。多餘原子可為間隙性,或鍵結至其他原子。在應變佈植物種與成分元素不同的實施例中,比如氮應力佈植與Si0.8 Ge0.2 通道,可由化學物種、濃度輪廓、或任何間隙原子的存在分辨多餘原子。佈植輪廓的濃度輪廓取決於佈植物種、佈植物種佈植入的材料、佈植能量、佈植劑量、與佈植的傾斜角度。這些濃度輪廓通常在特定深度存在峰值(視作範圍),且有時在橫向一致的狀況下近似於高斯函數。退火步驟可經由擴散改變佈植的濃度輪廓,端視退火的溫度-時間輪廓以及主體材料中的擴散物種之擴散係數而定。一般採用數值模組與模擬計算多種佈植所用的佈植輪廓與退火後的輪廓。一般可採用量測技術如二次離子質譜與奈米二次離子質譜量測這些輪廓。佈植原子通常為間隙性,雖然退火時會假定佈植原子位於晶格位置,但一些佈植原子仍維持在間隙。因此任何間隙原子的存在可能表示多餘原子的存在。在應力佈植物種與成分元素相同的實施例中,比如矽應力佈植至矽或Si0.8 Ge0.2 通道中,可由濃度輪廓或任何間戲原子的存在分辨多餘原子。
在應變佈植的多種實施例中,通道區550中的多餘原子濃度介於約1019 cm-3 至約1020 cm-3 之間。在其他實施例中,上側隔離區630中的多餘原子濃度介於1020 cm-3 至約1021 cm-3 之間。在其他實施例中,自由完全鰭狀物區840中的多餘原子之單位面積濃度約為應變佈植劑量。舉例來說,一實施例用於p型鰭狀場效電晶體的單位面積濃度介於1015 cm-2 至約1017 cm-2 之間。
圖13係一些實施例中,鰭狀場效電晶體中的應變佈植之佈植參數的表格。圖13所示的實施例可應用於鰭狀場效電晶體,其中鰭狀物材料為任何IV族半導體元素或IV族半導體化合物,比如包括Si1-x Gex (鍺含量x介於0至100%之間,包含矽與鍺)、Si1-x-y Gex Cy 、或類似物。在p型鰭狀場效電晶體的一實施中,四氟化矽氣體用於佈植矽至p型鰭狀場效電晶體中,其劑量介於約1015 cm-2 至1017 cm-2 之間,傾斜角度介於0度至30度之間,且佈植能量介於約5 keV至約30 keV之間。在另一實施例中,四氟化矽用於佈植矽,其劑量介於約1015 cm-2 至約1017 cm-2 之間,傾斜角度介於0度至約30度之間,且佈植能量造成佈植範圍R介於約20 nm至約40 nm之間。在p型鰭狀場效電晶體的其他實施例中,四氟化鍺氣體或氮氣分別用於佈植鍺或氮。
在其他實施例中,應變佈植用於增加n型鰭狀場效電晶體通道中的電子遷移率。在一實施例中,二氧化碳作為含碳氣體以佈植碳至n型鰭狀場效電晶體中,其摻雜劑量超過1017 cm-2 ,傾斜角度介於0度至30度之間,且佈植能量小於約15 keV。在另一實施例中,二氧化碳作為含碳氣體,以佈植碳至n型鰭狀場效電晶體中,其劑量超過約1017 cm-2 ,傾斜角度介於0度至約30度之間,且佈植能量造成佈植範圍R介於約20 nm至約40 nm之間。在其他實施例中,氮氣用於佈植氮至n型鰭狀場效電晶體中。
在圖6A所示的實施例中,應變佈植時的第一間隔物540存在於鰭狀物110上與虛置閘極堆疊140的側壁上。多種實施例在應變佈植時,第一間隔物540、多個間隔物、或其他層可或可不各自存在於鰭狀物110上或虛置閘極堆疊140的側壁上。此處預設一些實施例中,可適當地調整應變佈植參數如下述的劑量、能量、與傾斜角度,以確保退火步驟後的佈植物種位於通道區550中並具有上述的有利濃度。
在一些實施例中,鰭狀物材料為III-V族半導體化合物,且週期表的IIIA與VA族的一或多個元素可作為佈植物種。舉例來說,鰭狀物材料可為砷化鎵,而佈植物種可為氮、磷、砷、鋁、鎵、及/或銦。在一些實施例中,可佈植近似相同劑量的IIIA族元素與VA族元素至近似相同的佈植範圍,以維持鰭狀物的化學計量。舉例來說,鰭狀物材料可為砷化鎵,而VA族元素(如氮、磷、或砷)與IIIA族元素(如鋁、鎵、或銦)可佈植至近似相同的劑量與佈植範圍。
在多種實施例中,用於退火應變佈植的退火步驟為可與鰭狀場效電晶體製造製程相容的任何退火製程,包括快速熱退火、峰值退火、雷射退火、或類似製程。在一實施例中,可採用單一退火步驟退火應變與p型輕摻雜汲極佈植。在其他實施例中,可進行分開的應變退火步驟以用於應變佈植。舉例來說,若鰭狀場效電晶體製造製程中採用的p型輕摻雜汲極退火溫度較低、時間較短、或上述兩者兼具(與應變佈植的退火相較),則先進行應變退火。在一實施例中,p型輕摻雜汲極的製程在圖案化光阻後,可應變佈植以用於p型鰭狀場效電晶體、移除光阻、應變退火、施加並圖案化p型輕摻雜汲極的光阻、p型輕摻雜汲極佈植、移除第二光阻、以及p型輕摻雜汲極退火。在另一實施例中,採用硬遮罩以避免第二圖案化步驟:p型輕摻雜汲極的光阻用於圖案化硬遮罩,並進行應變佈植以用於p型鰭狀場效電晶體、應變退火、p型輕摻雜汲極佈植、以及p型輕摻雜汲極退火,接著移除硬遮罩。類似實施例可用於n型鰭狀場效電晶體。其他實施例亦屬可能。舉例來說,可在輕摻雜汲極製程順序之前進行p型鰭狀場效電晶體與n型鰭狀場效電晶體之一者或兩者所用的應變佈植,且可進行一或多到應變退火步驟,以分開或一起退火p型鰭狀場效電晶體與n型鰭狀場效電晶體之一者或兩者所用的應變佈植。
在一些實施例中,應變佈植可在鰭狀物寬度的方向中傾斜,且可或可不在通道長度的方向中傾斜。如圖6B所示,在鰭狀物寬度方向中不具有任何傾斜的佈植,而佈植的原子不能抵達上側鰭狀物區610之下的鰭狀物110之區域。然而若佈植在鰭狀物寬度的方向中傾斜,則佈植的原子將抵達上側鰭狀物區610下的鰭狀物110之區域,並有利於緩解通道區550下側的任何應變降低。在一些實施例中,總應變佈植劑量的一部分在鰭狀物寬度的方向中傾斜地佈植。在一些實施例中,應變佈植在通道長度的方向中不傾斜,且在鰭狀物寬度的方向中傾斜。在此實施例中,以三個步驟進行應變佈植。在第一步驟中,可佈植總劑量的一部分而不傾斜。接著將總劑量的其餘部分分為兩半,並在鰭狀物寬度的方向中以正與負的傾斜值分成兩步佈植。在其他實施例中,應變佈植可在通道長度與鰭狀物寬度的方向中進行。在此實施例中,總劑量的一部分在通道長度方向上以正與負的傾斜值分成兩步佈植,而總劑量的其餘部分在鰭狀物寬度方向上另外以正與負的傾斜值分成兩步佈植。在一些實施例中,在鰭狀物寬度的方向中的傾斜角度介於0度至約30度之間。
在一些實施例中,可在鰭狀場效電晶體的製造製程的階段進行p型鰭狀場效電晶體所用的應變佈植,而非在p型輕摻雜汲極或n型輕摻雜汲極的階段。舉例來說,一實施例在使源極/汲極凹陷之後與源極/汲極磊晶之前,可進行應變佈植。如圖8A所示,使源極/汲極凹陷可直接露出通道區550的側壁850。應變佈植在通道長度的方向中傾斜,以將佈植物種佈植到通道區550中。此外,與輕摻雜汲極製程順序中的應變佈植相較,可採用較低的佈植劑量。接著在源極/汲極磊晶之前,進行退火步驟以增進應變並修復佈植損傷。在其他實施例中,在源極/汲極磊晶後可進行應變佈植。
圖4係一實施例中,應變佈植之方法1400的流程圖。在步驟1410中,通道遮罩結構位於鰭狀物的第一部分上而不位於鰭狀結構的第二部分上,且第一部分位於通道區的至少一部分上。通道遮罩結構可或可不包含閘極如虛置閘極或置換閘極,且可或可不包含一或多個側壁。通道遮罩結構可為或包括光阻、氮化矽、氧化矽、或類似物。通道遮罩結構的寬度可與通道區的寬度不同,比如結構延伸出通道區或不遮罩所有的通道區。在步驟1420中,進行應變佈植。通道遮罩結構至少可阻擋一些佈植物種進入通道區。在步驟1430中,進行退火。
圖15係一實施例中,在輕摻雜汲極的階段進行應變佈植之方法1500的流程圖。輕摻雜汲極階段可為n型輕摻雜汲極或p型輕摻雜汲極的階段,且方法可應用於n型鰭狀場效電晶體所用的n型輕摻雜汲極階段、p型鰭狀場效電晶體所用的p型輕摻雜汲極階段、或n型與p型鰭狀場效電晶體所用的輕摻雜汲極階段。在步驟1510中,閘極位於鰭狀物的通道區上。閘極可為虛置閘極或置換閘極。在步驟1520中,可依據輕摻雜汲極圖案放置圖案化遮罩層於晶圓上。遮罩層可為光阻。在步驟1530中,進行應變佈植。在步驟1540中進行一或多道輕摻雜汲極佈植,並在步驟1550中進行退火。若遮罩層為光阻,可在退火的步驟1550之前移除遮罩層。
在其他方法的實施例中,步驟1530與1540的順序可顛倒。更普遍的作法是在一或多個輕摻雜汲極佈植後進行應變佈植。在其他方法的實施例中,抗反射塗層或平坦化層可搭配光阻一起使用。在其他實施例中,一或多個硬遮罩層如氧化物或氮化物層可用於遮罩佈植,且在圖案化一或多個遮罩層之後可或可不移除光阻。在退火的步驟1550之前或之後,可移除任何遮罩層。
在一實施例中,半導體裝置包括電晶體以及與電晶體相鄰的隔離區。電晶體具有通道區,通道區包含成分元素與多餘原子,且成分元素屬於元素週期表的一族,其中多餘原子為氮,或屬於元素週期表的該族。通道區中的多餘原子之濃度介於約1019 cm-3 至約1021 cm-3 之間。隔離區亦含有多餘原子,且隔離區中的多餘原子之濃度介於約1020 cm-3 至約1021 cm-3 之間。在一實施例中,通道區為IV族半導體元素或IV族半導體化合物,電晶體為p型鰭狀場效電晶體,以及多餘原子為矽。在一實施例中,通道區為IV族半導體元素或IV族半導體化合物,電晶體為p型鰭狀場效電晶體,以及多餘原子為鍺。在一實施例中,通道區為IV族半導體元素或IV族半導體化合物,電晶體為p型鰭狀場效電晶體,以及多餘原子為氮。在一實施例中,通道區為IV族半導體元素或IV族半導體化合物,電晶體為n型鰭狀場效電晶體,以及多餘原子為碳。在一實施例中,通道區為IV族半導體元素或IV族半導體化合物,電晶體為n型鰭狀場效電晶體,以及多餘原子為氮。在一實施例中,通道區為III-V族半導體化合物,以及多餘原子為氮或屬於元素週期表的III族或V族。
在一實施例中,半導體裝置包括閘極,其中閘極的上側寬度比閘極的下側寬度大超過1 nm,通道區,包含成分元素與多餘原子,成分元素屬於元素週期表的一族,而多餘原子為氮或屬於元素週期表的該族,以及通道區中的多餘原子濃度介於約1019 cm-3 至約1021 cm-3 之間。在一實施例中,半導體裝置為鰭狀場效電晶體,且更包括隔離區與鰭狀場效電晶體相鄰。隔離區包括多餘原子,且隔離區的多餘原子濃度介於約1020 cm-3 至約1021 cm-3 之間。在一實施例中,鰭狀物延伸高於隔離區的最頂部表面。在一實施例中,自由完全鰭狀物區中的多餘原子之單位面積濃度為至少1015 cm-2
在一實施例中,鰭狀場效電晶體包含的通道區包括的成分元素屬於元素週期表的一族,且鰭狀場效電晶體的製作方法包括:將通道遮罩結構置於鰭狀物的第一部分上以覆蓋鰭狀物的第一部分,通道遮罩結構未覆蓋鰭狀物的第二部分,且鰭狀物的第一部分包括通道區的至少一部分,進行氮或屬於元素週期表的該族之元素的第一離子佈植,以及退火第一離子佈植。在一實施例中,鰭狀場效電晶體為p型,通道遮罩結構包括閘極,鰭狀物為IV族半導體元素或IV族半導體化合物,離子佈植採用的氣體為六氟化矽、四氟化鍺、或氮氣,離子佈植的劑量介於約1015 cm-2 至約1017 cm-2 之間,離子佈植的能量介於約5 keV至約30 keV之間,以及離子佈植在通道區長度方向中的傾斜角度介於0度至約30度之間。在一實施例中,鰭狀場效電晶體為p型,通道遮罩結構包括閘極,鰭狀物為IV族半導體元素或IV族半導體化合物,離子佈植採用的氣體為六氟化矽、四氟化鍺、或氮氣,離子佈植的劑量介於約1015 cm-2 至約1017 cm-2 之間,離子佈植的能量介於約5 keV至約30 keV之間,離子佈植在通道區長度方向中的傾斜角度介於0度至約30度之間,以及離子佈植在鰭狀物寬度方向中的傾斜角度介於0度至約30度之間。在一實施例中,鰭狀場效電晶體為n型,通道遮罩結構包括閘極,鰭狀物為IV族半導體元素或IV族半導體化合物,離子佈植採用的氣體為二氧化碳或氮氣,離子佈植的劑量大於約1017 cm-2 ,離子佈植的能量小於約15 keV,以及離子佈植在通道區長度方向中的傾斜角度介於0度至約30度之間。在一實施例中,在一實施例中,鰭狀場效電晶體為n型,通道遮罩結構包括閘極,鰭狀物為IV族半導體元素或IV族半導體化合物,離子佈植採用的氣體為二氧化碳或氮氣,離子佈植的劑量大於約1017 cm-2 ,離子佈植的能量小於約15 keV,離子佈植在通道區長度方向中的傾斜角度介於0度至約30度之間,以及離子佈植在鰭狀物寬度方向中的傾斜角度介於0度至約30度之間。在一實施例中,方法更包括進行第二離子佈植,其中第二離子佈植為形成輕摻雜汲極區的輕摻雜汲極佈植,以及退火步驟退火第一離子佈植與第二離子佈植。在一實施例中,鰭狀場效電晶體為位於晶圓上的p型鰭狀場效電晶體,通道遮罩結構包括閘極,且方法更包括:在第一離子佈植之前將圖案化遮罩層置於晶圓上,且圖案化遮罩層覆蓋n型鰭狀場效電晶體而不覆蓋p型鰭狀場效電晶體,以及在圖案化遮罩層位於晶圓上時進行第二離子佈植,且第二離子佈植為形成p型輕摻雜汲極區的p型輕摻雜汲極佈植。在一實施例中,鰭狀場效電晶體為位於晶圓上的n型鰭狀場效電晶體,通道遮罩結構包括閘極,且方法更包括:在第一離子佈植之前將圖案化遮罩層置於晶圓上,且圖案化遮罩層覆蓋p型鰭狀場效電晶體而不覆蓋n型鰭狀場效電晶體,以及在圖案化遮罩層位於晶圓上時進行第二離子佈植,且第二離子佈植為形成n型輕摻雜汲極區的n型輕摻雜汲極佈植。在一實施例中,方法更包括形成隔離區以與鰭狀物相鄰,且其中進行氮或屬於元素週期表的該族的元素之第一離子佈植步驟,包括佈植氮或屬於元素週期表的該族的元素至隔離區中。在一實施例中,通道區為III-V族半導體化合物,且佈植物種為氮或屬於元素週期表的III族或V族。
本技術領域中具有通常知識者應輕易理解,可在不偏離本發明實施例範圍的情況下改變材料和方法。亦應理解,除了說明實施例的特定內容之外,本發明實施例提供許多可應用的發明概念。因此所附請求項旨在將這些製程、機器、製造方法、組成、手段、方法、或步驟包括在其範圍內。
A-A,B-B,C-C:剖線 110:鰭狀物 120:基板 130:隔離區 140:虛置閘極堆疊 510:虛置閘極 520:氮化矽層 530:氧化物層 540:第一間隔物 550:通道區 560:通道長度方向 565,575:傾斜角度 570:鰭狀物寬度方向 610:上側鰭狀物區 625:壓縮應變 630:上側隔離區 633,635,638:應變分量 640:上側堆疊區 655:下側鰭狀物部分 710:角落 810:區域 820:凹陷水平 830:第二間隔物 840:自由完全鰭狀物區 850:側壁 910:源極/汲極結構 920:氣隙 930:接點蝕刻停止層 940:第一層間介電層 1010:空洞 1020:頂部寬度 1030:底部寬度 1110:第二閘極介電層 1120:置換閘極 1210:第二層間介電層 1220:源極/汲極接點 1230:閘極接點 1240:上側寬度 1250:下側寬度 1400,1500:方法 1410,1420,1430,1510,1520,1530,1540,1550:步驟
圖1係鰭狀場效電晶體的製造製程之階段中,包含兩個鰭狀場效電晶體的結構之選定單元之簡化透視圖。 圖2A、2B、3A、3B、4A與4B係鰭狀場效電晶體的製造製程之多種階段中,選定的鰭狀場效電晶體結構之簡化剖視圖。 圖5A與5B係鰭狀場效電晶體的製造製程之輕摻雜汲極製程順序之前的選定結構的簡化剖視圖。 圖6A與6B係p型輕摻雜汲極製程順序中的應變佈植後的選定p型鰭狀場效電晶體結構的簡化剖視圖。 圖7係p型輕摻雜汲極製程順序中的應變佈植後的p型鰭狀場效電晶體結構中的應力分量平面圖。 圖8A與8B係鰭狀場效電晶體的製造製程中使源極/汲極凹陷後的選定p型鰭狀場效電晶體結構的簡化剖視圖,且鰭狀場效電晶體的製造製程包括p型輕摻雜汲極製程順序中的應變佈植。 圖9A與9B係鰭狀場效電晶體的製造製程中沉積第一層間介電層後的選定p型鰭狀場效電晶體結構的簡化剖視圖,且鰭狀場效電晶體的製造製程包括p型輕摻雜汲極製程順序中的應變佈植。 圖10A與10B係鰭狀場效電晶體的製造製程中移除閘極堆疊後的選定p型鰭狀場效電晶體結構的簡化剖視圖,且鰭狀場效電晶體的製造製程包括p型輕摻雜汲極製程順序中的應變佈植。 圖11A與11B係鰭狀場效電晶體的製造製程中形成置換閘極後的選定p型鰭狀場效電晶體結構的簡化剖視圖,且鰭狀場效電晶體的製造製程包括p型輕摻雜汲極製程順序中的應變佈植。 圖12A與12B係鰭狀場效電晶體的製造製程中形成接點後的選定p型鰭狀場效電晶體結構的簡化剖視圖,且鰭狀場效電晶體的製造製程包括p型輕摻雜汲極製程順序中的應變佈植。 圖13係一些實施例中,鰭狀場效電晶體中的應變佈植之佈植參數的表格。 圖14係一實施例中,應變佈植方法的流程圖。 圖15係一實施例中,在輕摻雜汲極的階段進行應變佈植之方法的流程圖。
1400:方法
1410,1420,1430:步驟

Claims (1)

  1. 一種半導體裝置,包括: 一電晶體,具有一通道區,該通道區包含一成分元素與多個多餘原子,且該成分元素屬於元素週期表的一族,其中: 該些多餘原子為氮,或屬於元素週期表的該族,以及 該通道區中的該些多餘原子之濃度介於約1019 cm-3 至約1021 cm-3 之間;以及 一隔離區,與該電晶體相鄰,該隔離區亦含有該些多餘原子,且該隔離區中的該些多餘原子之濃度介於約1020 cm-3 至約1021 cm-3 之間。
TW109125716A 2019-09-17 2020-07-30 半導體裝置與其形成方法與鰭狀場效電晶體的形成方法 TWI834903B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/573,897 2019-09-17
US16/573,897 US11088249B2 (en) 2019-09-17 2019-09-17 Semiconductor device with implant and method of manufacturing same

Publications (2)

Publication Number Publication Date
TW202113943A true TW202113943A (zh) 2021-04-01
TWI834903B TWI834903B (zh) 2024-03-11

Family

ID=74868271

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109125716A TWI834903B (zh) 2019-09-17 2020-07-30 半導體裝置與其形成方法與鰭狀場效電晶體的形成方法

Country Status (3)

Country Link
US (3) US11088249B2 (zh)
CN (1) CN112531031A (zh)
TW (1) TWI834903B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11088249B2 (en) * 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with implant and method of manufacturing same
KR20220009156A (ko) * 2020-07-15 2022-01-24 삼성전자주식회사 상부 채널 및 하부 채널을 갖는 반도체 소자 및 그 제조 방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106512A (ja) * 1993-10-04 1995-04-21 Sharp Corp 分子イオン注入を用いたsimox処理方法
US5468657A (en) * 1994-06-17 1995-11-21 Sharp Microelectronics Technology, Inc. Nitridation of SIMOX buried oxide
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8592264B2 (en) * 2011-12-21 2013-11-26 International Business Machines Corporation Source-drain extension formation in replacement metal gate transistor device
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9570557B2 (en) * 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
KR102367995B1 (ko) 2015-06-12 2022-02-25 인텔 코포레이션 다양한 채널 재료를 사용하여 동일한 다이 상에 트랜지스터들을 형성하기 위한 기술들
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9899382B2 (en) * 2016-06-01 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same
US10115808B2 (en) * 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10680102B2 (en) * 2018-09-27 2020-06-09 International Business Machines Corporation Reduction of top source/drain external resistance and parasitic capacitance in vertical transistors
US11610889B2 (en) * 2018-09-28 2023-03-21 Intel Corporation Arsenic-doped epitaxial, source/drain regions for NMOS
US11088249B2 (en) * 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with implant and method of manufacturing same

Also Published As

Publication number Publication date
CN112531031A (zh) 2021-03-19
US20210367038A1 (en) 2021-11-25
US20230261055A1 (en) 2023-08-17
US20210083056A1 (en) 2021-03-18
US11088249B2 (en) 2021-08-10
TWI834903B (zh) 2024-03-11
US11670683B2 (en) 2023-06-06

Similar Documents

Publication Publication Date Title
US11450757B2 (en) FinFET device and methods of forming
TWI702657B (zh) 鰭狀場效電晶體裝置與其形成方法
TWI713152B (zh) 半導體裝置及其製造方法
TWI570915B (zh) 半導體裝置以及製造鰭式場效電晶體裝置的方法
TWI780187B (zh) 半導體裝置的形成方法與包含p型場效電晶體結構的半導體裝置
KR101454998B1 (ko) 자가 정렬된 소스/드레인을 갖는 FinFET을 형성하는 방법
CN107799422B (zh) 形成半导体器件的方法
CN105097556A (zh) FinFET及其制造方法
US8809171B2 (en) Methods for forming FinFETs having multiple threshold voltages
US20210313235A1 (en) Semiconductor Device and Method
US11735651B2 (en) FinFET device and method
US20210273102A1 (en) Semiconductor device and method of manufacture
US11908695B2 (en) Replacement gate methods that include treating spacers to widen gate
US20220359301A1 (en) Dual Dopant Source/Drain Regions and Methods of Forming Same
US20190165116A1 (en) Semiconductor device and method of fabricating the same
TWI834903B (zh) 半導體裝置與其形成方法與鰭狀場效電晶體的形成方法
US20220352321A1 (en) Method of Forming a Semiconductor Device with Implantation of Impurities at High Temperature
US20220367717A1 (en) Semiconductor Device and Method of Manufacture
US11600534B2 (en) Source/drain structures and method of forming
US12002719B2 (en) Gapfill structure and manufacturing methods thereof
US20230261048A1 (en) Semiconductor device and method of manufacture
US20230042196A1 (en) Semiconductor device and method of manufacture
US20240021618A1 (en) Semiconductor device and method