JP7011190B2 - 垂直輸送フィン電界効果トランジスタおよび垂直輸送フィン電界効果トランジスタの形成方法 - Google Patents

垂直輸送フィン電界効果トランジスタおよび垂直輸送フィン電界効果トランジスタの形成方法 Download PDF

Info

Publication number
JP7011190B2
JP7011190B2 JP2019541395A JP2019541395A JP7011190B2 JP 7011190 B2 JP7011190 B2 JP 7011190B2 JP 2019541395 A JP2019541395 A JP 2019541395A JP 2019541395 A JP2019541395 A JP 2019541395A JP 7011190 B2 JP7011190 B2 JP 7011190B2
Authority
JP
Japan
Prior art keywords
layer
spacer
vertical
shaped
vertical fins
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019541395A
Other languages
English (en)
Other versions
JP2020507213A (ja
Inventor
メータ、サンジェイ
プラナターシハラン、バラスブラマニアン
ビー、ヂェンシン
デバラジャン、タマライ
サンカラパンディアン、ムスマニカム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2020507213A publication Critical patent/JP2020507213A/ja
Application granted granted Critical
Publication of JP7011190B2 publication Critical patent/JP7011190B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors

Description

本発明は、概して垂直フィン密度と無関係である下部スペーサの形成に関し、より詳細には下部スペーサの一部として絶縁誘電体溝を形成することに関する。
電界効果トランジスタ(FET)は典型的に、ソース、チャネルおよびドレインを有しており、ここでソースからドレインに電流が流れ、更にチャネルを通る電流の流れを制御するゲートを有する。電界効果トランジスタ(FET)は各種の異なる構造を有することができ、例えば、FETは、ソース、チャネルおよびドレインが基板材料自体に形成されて製造されており、ここで電流は水平に(すなわち、基板の面に)流れ、ならびにfinFETは、チャネルが基板から外向きに延びて形成されているが、ここでも電流はソースからドレインに水平に流れる。finFETのためのチャネルは、基板の面に単一のゲートを持つMOSFETと比較して、フィン上にゲートを持つフィンと一般に称される、薄いほぼ矩形のSiの直立スラブであることができる。ソースおよびドレインのドーピングに応じて、n-FETまたはp-FETが形成されることができる。
FETの例としては、金属酸化膜半導体電界効果トランジスタ(MOSFET)および絶縁ゲート電界効果トランジスタ(IGFET)を含むことができる。2つのFETが結合されて相補型金属酸化膜半導体(CMOS)デバイスを形成することもでき、ここでpチャネルMOSFETおよびnチャンネルMOSFETが共に結合される。
減少する一方のデバイス寸法につれて、個別の部品および電気接点を形成することがより困難になる。したがって、従来のFET構造の肯定的な側面を保持する一方で、より小さいデバイス部品を形成することによって引き起こされるスケーリング問題を克服する手法が必要とされる。
したがって、当該技術において上述の課題に対処する必要がある。
本発明は、下部スペーサの一部として絶縁誘電体溝を含む垂直輸送フィン電界効果トランジスタおよび垂直輸送フィン電界効果トランジスタの形成方法を提供する。
本発明の一実施形態に従って、基板の表面上の1つまたは複数の垂直フィンと、1つまたは複数の垂直フィンのうちの少なくとも1つに隣接する基板上のL字形またはU字形スペーサ溝と、1つまたは複数の垂直フィンのうちの少なくとも1つの側壁およびL字形またはU字形スペーサ溝上のゲート誘電体層とを含む、垂直輸送(vertical transport)フィン電界効果トランジスタ(VT FinFET)が提供される。
本発明の別の実施形態に従って、基板上に1つまたは複数の垂直フィンを形成することと、基板および1つまたは複数の垂直フィンの露出面上にスペーサ層を形成することと、スペーサ層上にゲージ層を形成することと、ゲージ層の一部分を除去してスペーサ層上に1つまたは複数のゲージ部を形成することであって、ゲージ層の一部分を除去することによって1つまたは複数の垂直フィン上のスペーサ層の一部分が露出される、形成されることと、スペーサ層の露出部分を除去してL字形またはU字形スペーサ溝を形成することとを含む、垂直輸送フィン電界効果トランジスタを形成する方法が提供される。
本発明の更に別の実施形態に従って、基板上に1つまたは複数の垂直フィンを形成することと、基板および1つまたは複数の垂直フィンの露出面上にライナ層を形成することと、ライナ層の少なくとも一部分上にスペーサ層を形成することと、スペーサ層上にゲージ層を形成することと、ゲージ層の一部分を除去してスペーサ層上に1つまたは複数のゲージ部を形成することであって、ゲージ層の一部分を除去することによって1つまたは複数の垂直フィン上のスペーサ層の一部分が露出される、形成することと、スペーサ層の露出部分を除去することであって、スペーサ層の露出部分を除去することが下位ライナ層の一部分を露出させる、除去することと、1つまたは複数の垂直フィン上の下位ライナ層の露出部分を除去することとを含む、垂直輸送フィン電界効果トランジスタを形成する方法が提供される。
これらおよび他の特徴および利点は、添付図面と関連して読まれることになる、その例示的な実施形態の以下の詳細な説明から明らかになるであろう。
以下の説明は、以下の図を参照しつつ好適な実施形態の詳細を提供することになる。
本発明の一実施形態に従って、基板を図示する横断側面図である。 本発明の一実施形態に従って、基板の表面上に形成されたフィン・テンプレート層、フィン・テンプレート層上に形成されたマンドレル層、マンドレル層上に形成されたマンドレル・テンプレート層およびマンドレル・テンプレート層上に形成されたマンドレル・マスク層を図示する横断側面図である。 本発明の一実施形態に従って、マンドレル・テンプレート層上のパターン化されたマンドレル・マスク層を図示する横断側面図である。 本発明の一実施形態に従って、マンドレル・テンプレートおよび犠牲マンドレル上のパターン化されたマンドレル・マスク層を図示する横断側面図である。 本発明の一実施形態に従って、マンドレル・テンプレート、犠牲マンドレルおよびフィン・テンプレート層上に形成された側壁層を図示する横断側面図である。 本発明の一実施形態に従って、犠牲マンドレルの反対側に形成された側壁スペーサを図示する横断側面図である。 本発明の一実施形態に従って、犠牲マンドレルの除去後にフィン・テンプレート層上に形成された側壁スペーサを図示する横断側面図である。 本発明の一実施形態に従って、基板上に形成されたフィン・テンプレートおよび各フィン・テンプレート上の側壁スペーサを図示する横断側面図である。 本発明の一実施形態に従って、各垂直フィン上にフィン・テンプレートおよび側壁スペーサを持って基板上に形成された複数の垂直フィンを図示する横断側面図である。 本発明の一実施形態に従って、側壁スペーサの除去後に各先細の垂直フィン上に残存するフィン・テンプレート、および垂直フィン下方のソース/ドレイン領域を図示する横断側面図である。 本発明の一実施形態に従って、垂直フィン、フィン・テンプレートおよび基板上のライナ層を図示する横断側面図である。 本発明の一実施形態に従って、垂直フィン、フィン・テンプレートおよび基板上のライナ層上のスペーサ層を図示する横断側面図である。 本発明の一実施形態に従って、スペーサ層上および垂直フィン間のゲージ層を図示する横断側面図である。 本発明の一実施形態に従って、スペーサ層上のゲージ層、および垂直フィン間の分離領域を図示する、垂直フィンの長軸の横断側面図である。 本発明の一実施形態に従って、垂直フィン間に減少された高さを持つゲージ層を図示する横断側面図である。 本発明の一実施形態に従って、垂直フィン間に減少された高さを持つゲージ層を図示する、垂直フィンの長軸の横断側面図である。 本発明の一実施形態に従って、ゲージ層の高さまで取り除かれてスペーサ溝を生成するスペーサ層を図示する横断側面図である。 本発明の一実施形態に従って、ゲージ層の残存部分の除去後のライナ層上のL字形およびU字形スペーサ溝を図示する横断側面図である。 本発明の一実施形態に従って、垂直フィンの端壁上のスペーサ溝、および垂直フィン間の分離領域におけるゲージ層の残存部分を図示する、垂直フィンの長軸の横断側面図である。 本発明の一実施形態に従って、各垂直フィンおよびフィン・テンプレートからのライナ層の露出部分の除去を図示する横断側面図である。 本発明の一実施形態に従って、垂直フィン、フィン・テンプレート、およびスペーサ溝の露出面上に形成されたゲート誘電体層を図示する横断側面図である。 本発明の一実施形態に従って、ゲート誘電体層上の仕事関数層を図示する横断側面図である。 本発明の一実施形態に従って、仕事関数層上のゲート・フィル層を図示する横断側面図である。 本発明の一実施形態に従って、垂直フィン上に形成されたゲート構造、およびゲート構造の露出部分上に形成された上部スペーサを図示する横断側面図である。 本発明の一実施形態に従って、各垂直フィンの上面上の上部ソース/ドレインおよびソース/ドレイン接点を図示する横断側面図である。 本発明の一実施形態に従って、nFETおよび隣接するpFETのための垂直フィン、ゲート構造、ソース/ドレインおよび電気接点を図示する、垂直フィンの長軸の横断側面図である。
本発明の原理および実施形態は概して、ピッチおよびフィン・パターン密度が変動することがある垂直フィン間でより均一な厚さを有する下部スペーサを形成することに関する。下部スペーサは、隣接する垂直フィン間の距離と共に変動しない様式で形成される2つの層を含むことができる。様々な実施形態において、酸化物ライナ層および窒化物スペーサ層が、熱原子層堆積(熱ALD)またはプラズマ強化原子層堆積(PEALD)によって基板および垂直フィン上に形成されて垂直輸送電界効果トランジスタ(VTFET)のための下部スペーサを提供することができ、ここで電流は基板の面に直角にFinFETを通って流れる。
本発明の原理および実施形態は概して、基板および垂直フィン上にコンフォーマルに堆積されて、トレンチ幅(すなわち、フィン間距離)および幾何配置に無関係である所定の厚さを有するU字形またはL字形スペーサ溝にも関する。コンフォーマルな堆積は厚さ変動を低減または回避することができ、ここで隣接デバイス間の下部スペーサ厚さの変動性はデバイス性能変動および不安定性を誘発し得る。例えば、高密度プラズマ堆積下部スペーサ膜は、ピッチ変動の程度、フィル幾何配置の形状、リエントラント性および堆積パラメータに応じて厚さが10%~50%(例えば、約1nm~約4nm)ほども変動し得る。
本発明の原理および実施形態は概して、単分子層ごとの層形成(monolayer-by-monolayer layer formation)を通じて層の厚さをより良く制御するために、高密度プラズマ(HDP)堆積、物理気相堆積(PVD)またはガス・クラスタ・イオン・ビーム(GCIB)堆積などの指向性の堆積に代わる、熱ALDまたはPEALDによるコンフォーマルな堆積の使用にも関する。熱ALDまたはPEALDあるいはその両方によるライナ層およびスペーサ層の堆積は、ピッチ・ウォーキング(pitch walking)および垂直フィン・ローディング(vertical fin loading)(分離対密集)による厚さ変動を回避することができ、ここでフィン・ピッチの変動は、より密集した領域における層形成反応物の局所空乏を引き起こし、それによって特にPECVDまたはHDPプロセスの場合、異なる量のスペーサおよびライナ層堆積厚さという結果になり得る。
加えて、熱ALDおよびPEALD堆積窒化物層は、より低い熱予算で潜在的に達成されることができ、ここでALDまたはPEALD堆積は500°C未満で実施されてもよい。より低い熱予算はドーパント拡散を回避することができる。
本発明が適用されることができる例証的な応用例/使用例としては:垂直輸送FinFETデバイスを活用する論理(例えば、NAND、NOR、XOR等)およびメモリ・デバイス(例えば、SRAM、DRAM等)を含むが、これらに限定されない。
様々な実施形態において、材料および層は、物理気相堆積(PVD)、化学気相堆積(CVD)、原子層堆積(ALD)、分子線エピタキシ(MBE)、またはそれらの様々な変形例、例えば、プラズマ強化化学気相堆積(PECVD)、金属有機化学気相堆積(MOCVD)、低圧化学気相堆積(LPCVD)、電子ビーム物理気相堆積(EB-PVD)およびプラズマ強化原子層堆積(PEALD)のいずれかによって堆積されることができる。堆積はエピタキシャル・プロセスであることができ、そして堆積材料は結晶質であることができる。様々な実施形態において、層の形成は、1つまたは複数の堆積プロセスによるものであることができ、ここで、例えば、第1のプロセス(例えば、熱ALD、PEALD等)によってコンフォーマルな層が形成されてもよく、そして第2のプロセス(例えば、CVD、電着、PVD等)によってフィルが形成されてもよい。
本発明が所与の例示的なアーキテクチャに関して記載されることになるが、しかしながら、他のアーキテクチャ、構造、基板材料ならびにプロセス特徴およびステップが本発明の範囲内で多様であり得ることが理解されるはずである。
明瞭にするために或る特徴が全ての図に図示されなくてもよいことが留意されるべきである。このことは、いずれかの特定の実施形態、または図解、または請求項の範囲の限定と解釈されるとは意図されない。
ソース/ドレイン突起、層、領域等への言及は、別途明示される場合を除いて、特定のデバイス特徴がソースまたはドレインとして実装されることができることを示すと意図される。加えて、能動デバイスのためのソースおよびドレインの役割は、いくつかの事例において逆にされることができるので、既に示されたドレインは代わりにソースであってもよく、その逆も同じである。ソース/ドレインへの言及は、したがって、用語の最も広い合理的な範囲を包含すると意図される。
ここで参照する図面では類似の番号が同じまたは同様の要素を表しており、最初に図1を参照すると、本発明の一実施形態に従って、基板の横断側面図が図示されている。
1つまたは複数の実施形態において、基板110は、半導体または活性表面半導体層を持つ絶縁体であることができる。基板は、結晶、半結晶、微結晶または非晶領域を含むことができる。基板は、本質的に(すなわち、汚染物質を除いて)単一元素(例えば、シリコン)、主として(すなわち、ドーピングと共に)単一元素、例えば、シリコン(Si)もしくはゲルマニウム(Ge)であることができ、または基板は、化合物、例えば、Al、SiO、GaAs、SiCもしくはSiGeを含むことができる。基板は、複数の材料層、例えば、シリコン・オン・インシュレータ基板(SOI)、ゲルマニウム・オン・インシュレータ基板(GeOI)またはシリコン・ゲルマニウム・オン・インシュレータ基板(SGOI)などの、セミコンダクタ・オン・インシュレータ基板(SeOI)を有することもできる。基板は、高k酸化物または窒化物あるいはその両方を含む、基板を形成する他の層を有することもできる。基板110は、キャリア層を含んで、より薄い層を支持することができる。
1つまたは複数の実施形態において、基板110は、シリコン・ウエハであることができる。様々な実施形態において、基板は、単結晶シリコン(Si)、シリコン・ゲルマニウム(SiGe)もしくはIII-V族半導体(例えば、GaAs)ウエハであること、または単結晶シリコン(Si)、シリコン・ゲルマニウム(SiGe)もしくはIII-V族半導体(例えば、GaAs)表面/活性層を有することができる。
図2は、本発明の一実施形態に従って、基板の表面上に形成されたフィン・テンプレート層、フィン・テンプレート層上に形成されたマンドレル層、マンドレル層上に形成されたマンドレル・テンプレート層およびマンドレル・テンプレート層上に形成されたマンドレル・マスク層を図示する横断側面図である。
1つまたは複数の実施形態において、基板110の表面の少なくとも一部分上にフィン・テンプレート層120が形成されることができる。様々な実施形態において、フィン・テンプレート層120は、CVD、PECVD、PVD、熱成長またはそれらの組合せによって基板表面上に形成されることができ、ここでフィン・テンプレート層120は基板上にブランケット堆積(blanket deposit)されることができる。
1つまたは複数の実施形態において、フィン・テンプレート層120は、約20nm~約70nmの範囲の、または約20nm~約50nmの範囲の、または約50nm~約70nmの範囲の、または約30nm~約60nmの範囲の厚さを有することができ、ここでフィン・テンプレート層120の厚さは、続いて形成されるソース/ドレイン突起の高さを規定することができる。他の厚さも企図される。
様々な実施形態において、フィン・テンプレート層120は、基板110への垂直フィン・パターンの転写の間、基板をマスクするためのハード・マスク層であることができる。フィン・テンプレート層120は、酸化ケイ素(SiO)、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、炭窒化ケイ素(SiCN)、ホウ窒化ケイ素(SiBN)、炭化ケイ素(SiC)、ホウ炭化ケイ素(SiBC)、ホウ炭窒化ケイ素(SiBCN)、炭化ホウ素(BC)、窒化ホウ素(BN)、窒化チタン(TiN)またはそれらの組合せであることができ、ここでフィン・テンプレート層120は1つまたは複数の層を含んでもよい。フィン・テンプレート層120は、マンドレル層から犠牲マンドレルを形成するためのエッチング・ストップ層としても作用することができ、ここでフィン・テンプレート層120は他の層に対して選択的にエッチングされることができる。
1つまたは複数の実施形態において、フィン・テンプレート層120の少なくとも一部分上にマンドレル層130が形成されることができる。1つまたは複数の実施形態において、マンドレル層130は、CVD、PECVD、PVD、スピン・オン・プロセスまたはそれらの組合せによって形成されることができ、ここでマンドレル層130はフィン・テンプレート層120上にブランケット堆積されることができる。
様々な実施形態において、マンドレル層130は、容易かつ選択的にパターン化およびエッチングされることができる犠牲材料であることができる。マンドレル層130は、アモルファス・シリコン(a-Si)、ポリ・シリコン(p-Si)、無定形炭素(a-C)、シリコン・ゲルマニウム(SiGe)、有機平坦化層(OPL)、酸化ケイ素(SiO)、窒化ケイ素(SiN)またはそれらの適切な組合せであることができる。
1つまたは複数の実施形態において、マンドレル層130上にマンドレル・テンプレート層140が形成されることができ、ここでマンドレル・テンプレート層はハード・マスク層であることができる。
マンドレル・テンプレート層140は、酸化ケイ素(SiO)、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、炭窒化ケイ素(SiCN)、ホウ窒化ケイ素(SiBN)、ホウ炭化ケイ素(SiBC)、ホウ炭窒化ケイ素(SiBCN)、炭化ホウ素(BC)、窒化ホウ素(BN)またはそれらの組合せであることができ、ここでマンドレル・テンプレート層140は1つまたは複数の層を含んでもよい。
1つまたは複数の実施形態において、マンドレル・テンプレート層140上にマンドレル・マスク層150が形成されることができ、ここでマンドレル・マスク層150は、マンドレル・テンプレート層140をマスクするためのハード・マスク層またはソフト・マスク層であることができる。1つまたは複数の実施形態において、マンドレル・マスク層150は、リソグラフィ・レジスト材料(例えば、フォト・レジスト材料、eビーム・レジスト材料等)であることができる。
1つまたは複数の実施形態において、マンドレル・マスク層150は、ポジもしくはネガ・レジスト材料、例えば、ポリ(メタクリル酸メチル)(PMMA)もしくはSU-8、または電子ビーム(eビーム)硬化材料、例えば、水素シルセスキオキサン(HSQ)であることができる。
1つまたは複数の実施形態において、マンドレル・マスク層150は、スピン・オン・プロセスによってマンドレル・テンプレート層140上に形成されることができる。
図3は、本発明の一実施形態に従って、マンドレル・テンプレート層上のパターン化されたマンドレル・マスク層を図示する横断側面図である。
1つまたは複数の実施形態において、マンドレル・マスク層150は、パターン化および現像されてマンドレル・テンプレート層140上にマンドレル・マスク区間151を形成することができ、ここでマンドレル・マスク区間151は、マンドレル・テンプレート層140の一部分を覆い、かつマンドレル・テンプレート層の他の部分を露出させる。マンドレル・マスク層150は、当該技術で公知のプロセスを使用してパターン化および現像されることができる。
様々な実施形態において、隣接するマンドレル・マスク区間151間のピッチ(すなわち、中心間距離)は、約20nm~約60nmの範囲で、または約20nm~約40nmの範囲であることができ、それは垂直フィン間のピッチを決定することができる。
図4は、本発明の一実施形態に従って、マンドレル・テンプレートおよび犠牲マンドレル上のパターン化されたマンドレル・マスク層を図示する横断側面図である。
1つまたは複数の実施形態において、マンドレル・テンプレート層140の露出部分は、ウェット・エッチングによってまたはドライ・プラズマ・エッチングによって除去されることができ、ここでドライ・プラズマは方向性の反応性イオン・エッチング(RIE)であることができる。マンドレル・テンプレート層140の露出部分の除去は、マンドレル・マスク区間151下方に1つまたは複数のマンドレル・テンプレート141を形成すること、およびマンドレル層130の下位部分を露出させることができる。マンドレル・テンプレート141は、マンドレル層130にマンドレル・パターンを転写するために使用されることができる。
1つまたは複数の実施形態において、一旦マンドレル・テンプレート141が形成されると、マンドレル層130の露出部分を除去するために方向性エッチング(例えば、RIE)が使用されて下位フィン・テンプレート層120上に犠牲マンドレル131を形成することができる。1つまたは複数の犠牲マンドレル131はフィン・テンプレート層120上にあることができ、ここでフィン・テンプレート層の一部分が犠牲マンドレル131間に露出されることができる。
図5は、本発明の一実施形態に従って、マンドレル・テンプレート、犠牲マンドレルおよびフィン・テンプレート層上に形成された側壁層を図示する横断側面図である。
1つまたは複数の実施形態において、マンドレル・マスク区間151は、当該技術で公知のプロセス(例えば、ストリッピングまたはアッシング)を使用して除去されてマンドレル・テンプレート141を露出させることができる。1つまたは複数の実施形態において、マンドレル・テンプレート141および犠牲マンドレル131の露出面上に側壁スペーサ層160が形成されることができ、ここで側壁スペーサ層160は、側壁スペーサ層160の厚さを制御するために、コンフォーマルな堆積、例えば、熱ALDまたはPEALDによって形成されることができる。
様々な実施形態において、側壁スペーサ層160は、約4nm~約30nmの範囲の、または約6nm~約15nmの範囲の、または約8nm~約12nmの範囲の厚さを有することができ、ここで側壁スペーサ層160の厚さは、続いて形成される垂直フィンのピッチまたは幅あるいはその両方を決定することができる。
様々な実施形態において、側壁スペーサ層160は、酸化ケイ素(SiO)、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、炭化ケイ素(SiC)、コンフォーマルな無定形炭素(a-C)またはそれらの組合せであることができる。
図6は、本発明の一実施形態に従って、犠牲マンドレルの反対側に形成された側壁スペーサを図示する横断側面図である。
1つまたは複数の実施形態において、フィン・テンプレート層120上およびマンドレル・テンプレート141の上面上の側壁スペーサ層160の一部分が、方向性エッチング、例えば、RIEを使用するエッチング・バック・プロセスによって除去されて、入射イオン・ビームにほぼ直角な表面上の側壁スペーサ層の一部分を除去することができる一方で、犠牲マンドレル131の垂直側壁上の側壁スペーサ層160は、本質的にエッチングされていないままである。1つまたは複数の実施形態において、マンドレル・テンプレート141の上面上の側壁スペーサ層160の一部分が化学機械研磨(CMP)によって除去されて下位マンドレル・テンプレート141を露出させることができる。犠牲マンドレル131上の側壁スペーサ層160の残存部分が側壁スペーサ161を形成することができる。
様々な実施形態において、垂直フィン間の密なピッチを提供するために、側壁画像転写(SIT)プロセス、自己整合ダブル・パターニング(SADP)または自己整合クアドラプル・パターニング(SAQP)によって複数の垂直フィンが形成されることができる。様々な実施形態において、より緩やかなピッチを持つフィンを提供するために直接印刷が使用されることができる。液浸リソグラフィは、約78nmピッチまで直接印刷することができる。自己整合ダブル・パターニング(SADP)は、約40nm~60nmのフィン・ピッチまで達成することができる。自己整合クアドラプル・パターニング(SAQP)は、40nm未満のフィン・ピッチまで至るために使用されてもよい。
図7は、本発明の一実施形態に従って、犠牲マンドレルの除去後にフィン・テンプレート層上に形成された側壁スペーサを図示する横断側面図である。
1つまたは複数の実施形態において、マンドレル・テンプレート141および犠牲マンドレル131は、側壁スペーサ161が形成された後に除去されることができ、ここでマンドレル・テンプレート141および犠牲マンドレル131は選択エッチング(例えば、RIEまたはウェット・エッチング)によって除去されることができる。マンドレル・テンプレート141および犠牲マンドレル131が選択的に除去されることができる一方で、側壁スペーサ161はフィン・テンプレート層120上に残存してフィン・パターンを形成する。側壁スペーサ161がマンドレル・テンプレート141および犠牲マンドレル131と異なる材料で作製されることができるので、マンドレル・テンプレート141および犠牲マンドレル131は選択的に除去されることができる。
図8は、本発明の一実施形態に従って、基板上に形成されたフィン・テンプレートおよび各フィン・テンプレート上の側壁スペーサを図示する横断側面図である。
1つまたは複数の実施形態において、側壁スペーサ161によって形成されるフィン・パターンは、フィン・テンプレート層120の露出部分を除去することによってフィン・テンプレート層120に転写されることができる。様々な実施形態において、フィン・テンプレート層120の一部分が方向性RIEによって除去されて1つまたは複数の側壁スペーサ161の各々下方にフィン・テンプレート121を形成することができる。フィン・テンプレート層120の一部分の除去は、側壁スペーサ161およびフィン・テンプレート121の各々間に下位基板110、表面/活性層またはソース/ドレイン層の一部分を露出させることができる。
図9は、本発明の一実施形態に従って、各垂直フィン上にフィン・テンプレートおよび側壁スペーサを持って基板上に形成された複数の垂直フィンを図示する横断側面図である。
1つまたは複数の実施形態において、基板110または表面活性層上に1つまたは複数の垂直フィン111が形成されることができ、ここで垂直フィン111は、側壁スペーサ161およびフィン・テンプレート121間または周囲のあるいはその両方の基板110の一部分を除去することによって形成されることができる。1つまたは複数の垂直フィン111は、側壁スペーサ161によって覆われていない基板または表面活性層の一部分を除去する方向性エッチング、例えば、反応性イオン・エッチング(RIE)によって形成されることができる。基板材料の除去は、垂直フィンの基部でより大きな幅およびフィンの上部でより狭い幅を有する先細のプロファイルを持つ、または真っ直ぐなプロファイル(すなわち、本質的に均一幅)を持つ垂直フィン111を形成することができ、ここで真っ直ぐなまたは先細のプロファイルはエッチング・プロセスの態様として生成されることができる。
1つまたは複数の実施形態において、側壁スペーサ161およびフィン・テンプレート121間または周囲のあるいはその両方の基板または表面活性層に、約30nm~約90nmの範囲の、または約30nm~約50nmの範囲の、または約45nmの深さDまでトレンチが形成されることができ、ここで基板に形成される垂直フィン111は、トレンチの深さに等しい結果的な高さHを有することができる。垂直フィン111は、基部での幅が上面113上の側壁スペーサ161およびフィン・テンプレート121の幅より大きい先細のプロファイルを有することができる。
非限定的な例証的な実施形態において、基板110は、単結晶シリコン(Si)ウエハもしくは単結晶シリコン・ゲルマニウム(SiGe)ウエハであることができ、または基板は、複数の垂直フィンが形成されることができる、基板110の表面の単結晶シリコン(Si)もしくは単結晶シリコン・ゲルマニウム活性層(SiGe)(すなわち、表面活性層)を含むことができる。垂直フィン111は、完全に空乏化したデバイス・チャネルを提供するために未ドープであることができる。
1つまたは複数の実施形態において、垂直フィン111は、約20nm~約60nmの範囲の、または約30nm~約50nmの長さを有することができ、ここで垂直フィン長は幅W以上であることができる。垂直フィン111は、基板110の面と平行な、実質的に(例えば、丸い縁および粗/凸凹面を持って)正方形または長方形の横断面を有することができる。
1つまたは複数の実施形態において、垂直フィン111は、高キャリア移動度を提供するために、歪または無歪フィン(strained or unstrained fin)、例えば、歪SiGe(1-x)nFETもしくは無歪シリコンpFETまたはその両方、あるいはIII-V nFETであることができる。基板上の垂直フィン111は、1つまたは複数の垂直輸送フィン電界効果トランジスタ(VT FinFET)を製造するために使用されてもよい。VT FinFETは、電気的に結合されてCMOSデバイスを形成してもよく、ここでnFETおよびpFETが結合されてCMOSデバイスを形成する。
図10は、本発明の一実施形態に従って、側壁スペーサの除去後に各先細の垂直フィン上に残存するフィン・テンプレート、および垂直フィン下方のソース/ドレイン領域を図示する横断側面図である。
1つまたは複数の実施形態において、側壁スペーサ161は、フィン・テンプレート121および垂直フィン111から除去されることができる。垂直フィン111は先細の側壁プロファイルを有することができ、ここで垂直フィン111の基部112は、垂直フィン111の上面113での幅Wより大きな幅Wを有する。側壁スペーサ161は、例えば、等方性ドライ・エッチング、選択RIEプロセスまたは選択ウェット・エッチングによって除去されることができる。下位フィン・テンプレート121はエッチング・ストップとして作用することができる。フィン・テンプレート121は、側壁スペーサ161が除去された後に垂直フィン111上に残存すること、および別の選択エッチングによって続いて除去されることができる。
1つまたは複数の実施形態において、垂直フィンの上面113での幅Wは6nm~約10nmの範囲であることができ、そして垂直フィンの基部112での幅Wは幅Wより約2nm~約4nm広くなることができる。
1つまたは複数の実施形態において、垂直フィン111は、犠牲マンドレル131のピッチおよび幅によって決定されるピッチまたは中心間距離P、Pを有することができる。様々な実施形態において、垂直フィン111は、約20nm~約60nmの範囲の、または約30nm~約40nmの範囲の、犠牲マンドレル131の幅によって決定されるようなピッチPを有することができる。様々な実施形態において、垂直フィン111は、約20nm~約100nmの範囲の、または約30nm~約90nmの範囲の、または約40nm~約70nmの範囲の、2つの隣接する犠牲マンドレル131間のピッチによって決定されるようなピッチPを有することができ、ここでピッチPはピッチPに等しくなることができる。様々な実施形態において、ピッチPおよびピッチPは等しくなることができ、またはPおよびPは異なることができ、ここで差はピッチ・ウォーキングによることができる。本手法は、隣接垂直フィン111間に異なる/可変ピッチがある場合に下部スペーサに均一な厚さを提供することができる。
1つまたは複数の実施形態において、基板の表面に下部ソース/ドレイン領域115が形成されることができ、ここで下部ソース/ドレイン領域115は、n型もしくはp型ドーピング種の注入によって、基板および垂直フィン111の表面上にドーピング層をエピタキシャルに成長させることによって、またはそれらの組合せで形成されることができる。下部ソース/ドレインは、エピタキシャル・ドーピング層とドーパント注入の両方の組合せであり、いずれか単独によって達成可能であるより高いドーパント密度を達成することができる。ドーピング層は、垂直フィン・パターン化前または後にエピタキシャルに成長されることができる。注入またはエピタキシャルに成長したドーピング層あるいはその両方には1回または複数回のアニールが続いて、ドーパントが垂直フィン111の各々下方の領域へ横に拡散するのを可能にすることができる。ドーピング種は、垂直フィン111の下方部分へも拡散して垂直フィン111の基部112に拡張領域116を形成してもよい。下部ソース/ドレインのための下部接合は、下部スペーサを形成する前であるが、垂直フィン111を形成した後に形成されることができる。気相ドーピング、プラズマ・ドーピング、プラズマ浸漬イオン注入、クラスタ・ドーピング、注入ドーピング、液相ドーピング、固相ドーピングまたはそれらの組合せを含むがこれらに限定されず、他の適切なドーピング技術も使用されてもよい。
図11は、本発明の一実施形態に従って、垂直フィン、フィン・テンプレートおよび基板上のライナ層を図示する横断側面図である。
1つまたは複数の実施形態において、垂直フィン111の露出面および基板110の露出面上にライナ層170が形成されることができ、ここでライナ層170は、熱ALD、PEALD、パルス・プラズマCVDまたはそれらの組合せによって垂直フィン111上にコンフォーマルな堆積によって形成されることができる。様々な実施形態において、ライナ層170は、高密度プラズマ(HDP)堆積およびガス・クラスタ・イオン・ビーム(GCIB)堆積を含むがこれらに限定されない、指向性の堆積によって形成されない。様々な実施形態において、ライナ層170は、CVD、LPCVDまたはPECVDによって形成されない。
1つまたは複数の実施形態において、ライナ層170は、酸化ケイ素(SiO)、炭素ドープ酸化ケイ素(SiOC)またはそれらの組合せであることができる。酸化ケイ素は、化学量論的二酸化ケイ素(SiO)および他の化学量論比のケイ素と酸素を含むことができる。
1つまたは複数の実施形態において、ライナ層170は、約0.5nm~約10nmの範囲の、または約0.5nm~約5nmの範囲の、または約1nm~約5nmの、または約1nm~約3nmの厚さを有することができるとはいえ、他の厚さも企図される。
図12は、本発明の一実施形態に従って、垂直フィン、フィン・テンプレートおよび基板上のライナ層上のスペーサ層を図示する横断側面図である。
1つまたは複数の実施形態において、垂直フィン111および基板110上のライナ層170上にスペーサ層180が形成されることができ、ここでスペーサ層180は、熱ALD、PEALD、パルス・プラズマCVDまたはそれらの組合せによって垂直フィン111上にコンフォーマルな堆積によって形成されることができる。様々な実施形態において、スペーサ層180は、高密度プラズマ(HDP)堆積およびガス・クラスタ・イオン・ビーム(GCIB)堆積を含むがこれらに限定されない、指向性の堆積によって形成されない。様々な実施形態において、スペーサ層180はPECVDによって形成されない。
1つまたは複数の実施形態において、スペーサ層180は、窒化ケイ素(SiN)、炭素ドープ窒化ケイ素(SiCN)、酸窒化ケイ素(SiON)、ホウ炭窒化ケイ素(SiBCN)、酸炭窒化ケイ素(SiOCN)またはそれらの組合せであることができる。窒化ケイ素は、化学量論的窒化ケイ素(Si)および他の化学量論比のケイ素と窒素を含むことができる。
1つまたは複数の実施形態において、スペーサ層180は、約0.5nm~約10nmの範囲の、または約0.5nm~約5nmの範囲の、または約1nm~約5nmの、または約3nm~約8nmの厚さを有することができるとはいえ、他の厚さも企図される。
1つまたは複数の実施形態において、垂直フィン111は、所定の結晶方位を持つ結晶性半導体であることができ、ここで1つまたは複数の露出フィン・テンプレート121の除去が結晶性表面を露出させる。
図13は、本発明の一実施形態に従って、スペーサ層上および垂直フィン間のゲージ層を図示する横断側面図である。
1つまたは複数の実施形態において、スペーサ層180の露出面上にゲージ層190が形成されることができ、ここでゲージ層190は、ブランケット堆積、例えば、流動性CVD(例えば、SiO)、eHARP、スピン・オン・プロセスまたはそれらの組合せによって形成されることができる。
1つまたは複数の実施形態において、ゲージ層190は、二酸化ケイ素(SiO)、低k誘電体、流動性高分子材料またはそれらの組合せであることができる。低k誘電材料としては、炭素ドープ酸化ケイ素(SiOC)、フッ化物ドープ酸化ケイ素(例えば、フッ化物ドープ・ガラス)、無定形炭素、多孔質酸化ケイ素、スピン・オン・シリコン・ベースの高分子材料(例えば、オルトケイ酸テトラエチル(TEOS)、水素シルセスキオキサン(HSQ)およびメチルシルセスキオキサン(MSQ))またはそれらの組合せを含むことができるが、これらに限定されない。
図14は、本発明の一実施形態に従って、スペーサ層上のゲージ層、および垂直フィン間の分離領域を図示する、垂直フィンの長軸の横断側面図である。
1つまたは複数の実施形態において、フィン・テンプレート121上のスペーサ層180の上面上方に広がるゲージ層190の一部分が、例えば、化学機械研磨(CMP)によって除去されてゲージ層190に平滑な平坦化表面を提供することができる。フィン・テンプレート121上のスペーサ層180の上面は、ゲージ層190の上方部分の除去によって露出されることができる。
1つまたは複数の実施形態において、ゲージ層190は、分離領域トレンチを充填して2つの垂直フィン111間に分離領域195(例えば、シャロー・トレンチ分離領域)を形成することができる。分離領域トレンチは、ライナ層170およびスペーサ層180で内部が覆われることができる。様々な実施形態において、第1の垂直フィン111がn型FinFETであるように構成されることができ、そして第1の垂直フィン111に隣接する第2の垂直フィン111がp型FinFETであるように構成されることができ、ここで第1の垂直フィン111および拡張領域116下方の下部ソース/ドレイン領域115は、適切にドープされてn型FinFET(左斜めハッチングとして図示される)を形成することができ、そして第2の垂直フィン111および拡張領域116下方の下部ソース/ドレイン領域115は、適切にドープされてp型FinFET(右斜めハッチングとして図示される)を形成することができる。分離領域195は、n型FinFETおよびp型FinFETが電気的に分離されるように、第1の垂直フィン111下方の下部ソース/ドレイン領域115および第2の垂直フィン111下方の下部ソース/ドレイン領域115を物理的および電気的に分割することができる。n型FinFETおよびp型FinFETを形成する垂直フィン111の一部分が未ドープであり、完全に空乏化したデバイス・チャネルを提供することができる。
図15は、本発明の一実施形態に従って、垂直フィン間に減少された高さを持つゲージ層を図示する横断側面図である。
1つまたは複数の実施形態において、ゲージ層190の一部分が除去されて、垂直フィン111間または周囲のあるいはその両方のスペーサ層180上にゲージ部191を形成することができ、ここでゲージ部191は垂直フィン111間のスペーサ層180の一部分を覆うことができる。除去されたゲージ層190の一部分は、ゲージ層190の高さ(すなわち、厚さ)を、スペーサ層180から形成される、U字形スペーサ溝、またはスペーサ層が片側だけに沿ってフィンを有するL字形スペーサ溝の意図される深さのための所定の値に減少させることができる。スペーサ溝181の側壁182は、ゲージ部191の厚さに等しい内側高さを有することができる。様々な実施形態において、垂直フィン111の側面の上方へのスペーサ溝の脚部の高さは、FinFETの意図されるチャネル長に依存することができる。
様々な実施形態において、垂直フィン111の基部112からの拡張領域116の高さは、垂直フィン111の側面の上方へのスペーサ溝の脚部の高さに等しくなり、抵抗を最小化することおよび所定のチャネル長を提供することができる。
1つまたは複数の実施形態において、ゲージ層190の一部分は、ゲージ層190の材料を優先して除去する一方で、ライナ層170上のスペーサ層180を垂直フィン111の側壁およびフィン・テンプレート121を覆ったままにする選択等方性エッチング(例えば、ウェット・エッチング、CORなどのドライ・エッチング、遠隔プラズマ・エッチング(例えば、SiCoNiTM)等)を使用して除去されることができる。エッチング・プロセスは、ゲージ層190の材料を優先して除去する方向性エッチング、例えば、反応性イオン・エッチング(RIE)であることもできる。エッチングは、ゲージ層190の所定の量を除去する時限エッチングであることができ、またはそれは、ウェット・エッチング、等方性ドライ・エッチング、および方向性エッチング(例えば、RIE)の様々な順序の組合せであり得る。
1つまたは複数の実施形態において、ゲージ部191は、約1nm~約6nmの範囲の、または約1nm~約5nmの範囲の、または約1nm~約4nmの範囲の高さ(すなわち、厚さ)を有することができる。
1つまたは複数の実施形態において、ゲージ層190の高さが減少されて、垂直フィン111の上方部分上のスペーサ層180を露出させることができる。ゲージ層190は、垂直フィン111の高さ下方に凹まされることができる。
図16は、本発明の一実施形態に従って、垂直フィン間に減少された高さを持つゲージ層を図示する、垂直フィンの長軸の横断側面図である。
1つまたは複数の実施形態において、ゲージ層190の高さが減少されて、垂直フィン111の上方部分上のスペーサ層180を露出させる一方で、スペーサ層180の下方部分を覆うことができる。ゲージ層190は、垂直フィン111の基部112上方の所定の高さに凹まされることができる。ゲージ層190の材料は2つの隣接するフィン間の分離領域195に残存し、ここで一方のフィンがpFETを形成することができ、そして他方のフィンがnFETを形成することができる。
図17は、本発明の一実施形態に従って、ゲージ層の高さまで取り除かれてスペーサ溝を生成するスペーサ層を図示する横断側面図である。
1つまたは複数の実施形態において、スペーサ層180の露出部分は、スペーサ層180の材料に対して選択的な等方性エッチング(例えば、ウェット・エッチング、SiCoNiTMエッチングなどのドライ等方性エッチング、または化学酸化物除去(COR)エッチング等)を使用して除去されて、2つの垂直フィン111間のU字形スペーサ溝181または単一の垂直フィン111に隣接するL字形スペーサ溝181を形成することができる。スペーサ層180の露出部分は、ゲージ部191の露出上面まで除去されることができ、ここで垂直フィン111上のスペーサ層180の残存部分がスペーサ溝181の側壁182を形成する。スペーサ層180をゲージ部191の上面まで取り除くことは、垂直フィン111およびフィン・テンプレート121上のライナ層170の一部分を露出させる。ライナ層170がスペーサ層180と異なる材料であることができるので、ライナ層はエッチング・ストップとして作用する。ライナ層170は、スペーサ層180と同じ材料であることができるフィン・テンプレート121を保護して、溝を生成するエッチング・プロセスの制御系列を提供することができる。
非限定的な例証的な実施形態において、垂直フィン111およびフィン・テンプレート121上にPEALDによって二酸化ケイ素(SiO)ライナ層170が形成されることができ、そしてライナ層170上にALDによって窒化ケイ素(Si)スペーサ層180が形成されることができる。スペーサ層180上におよび垂直フィン111間の間隙に流動性化学気相堆積(FCVD)によって流動性酸化ケイ素(SiO)が形成されてゲージ層190を提供することができる。フィン・テンプレート121は窒化ケイ素(Si)であることができる。
様々な実施形態において、ゲージ層190は、高アスペクト比プロセス(HARP)、拡張高アスペクト比プロセス(eHARP)または高密度プラズマ(HDP)によって形成されることができる。ゲージ層190は、紫外(UV)光またはオゾン(O)を使用して硬化され、そして300°C~700°Cの範囲の温度で蒸気アニールされて、シラザン・オリゴマ(-Si-N-Si-)をSi-O-Siへ変換することによって層構造を安定化させて、より強く/より密なゲージ層190を提供することができる。上方部分を除去し、かつゲージ層190を平坦化するために化学機械研磨(CMP)が活用されることができる。追加的に、窒化ケイ素(Si)スペーサ層180に対してSiOゲージ層190の上方部分を除去してゲージ部191を形成するために、選択RIEが使用されることができる。露出Siスペーサ層180は、選択等方性エッチング、例えば、熱リン酸エッチング、または「Frontier」TMなどのドライ等方性エッチングを使用してゲージ部191の上面まで取り除かれることができ、ここで二酸化ケイ素(SiO)ライナ層170はフィン・テンプレート121および垂直フィン111の除去または損傷を防止する。残存する流動性酸化ケイ素(SiO)ゲージ部191は、選択方向性エッチング(例えば、RIE)によって除去されてU字形またはL字形スペーサ溝181を露出させることができる。
図18は、本発明の一実施形態に従って、ゲージ層の残存部分の除去後のライナ層上のL字形およびU字形スペーサ溝を図示する横断側面図である。
1つまたは複数の実施形態において、ゲージ部191が除去されて下位U字形またはL字形スペーサ溝181を露出させることができ、ここでゲージ部191は選択エッチング(例えば、ウェット・エッチング、ドライ・エッチング、プラズマ・エッチング等)を使用して除去されることができる。ゲージ部191の除去は、スペーサ溝181の側壁182を垂直フィン111の一部分の上方に斜めにまたは直角に延びたままにすることができる。
1つまたは複数の実施形態において、スペーサ溝181の側壁182は、約1nm~約5nmの範囲の、または約2nm~約4nmの範囲の、溝面183上方の内側高さを有することができる。
図19は、本発明の一実施形態に従って、垂直フィンの端壁上のスペーサ溝、および垂直フィン間の分離領域におけるゲージ層の残存部分を図示する、垂直フィンの長軸の横断側面図である。
1つまたは複数の実施形態において、ゲージ部191は、例えば、時限エッチングによって除去されて、分離トレンチにゲージ層190の一部分を残して分離領域195を形成することができる。ゲージ部191は溝面183の水準まで除去されることができるので、導電ゲート材料はチャネルの水準下方の空間を充填しない。ゲージ部191は、ライナ層170およびスペーサ溝181の露出部分に対して選択的にエッチングされることができる。
図20は、本発明の一実施形態に従って、各垂直フィンおよびフィン・テンプレートからのライナ層の露出部分の除去を図示する横断側面図である。
1つまたは複数の実施形態において、ライナ層170の露出部分が除去されて垂直フィン111およびフィン・テンプレート121の上方部分を露出させることができ、ここでライナ層170は選択エッチングを使用して除去されることができる。スペーサ溝181の側壁182によって覆われるライナ層170の一部分は、2つの垂直フィン111間のU字形ライナ171または単一の垂直フィン111に隣接するL字形ライナ171を形成するままであることができ、ここでライナはそれぞれU字形スペーサ溝181またはL字形スペーサ溝181下方にある。様々な実施形態において、組み合わされたライナ層170およびスペーサ層180は、垂直フィン111の端壁上にL字形またはU字形下部溝を形成することができる。ライナ層170は、分離領域およびスペーサ溝181における材料に対して選択的にエッチングされることができる。
図21は、本発明の一実施形態に従って、垂直フィン、フィン・テンプレート、およびスペーサ溝の露出面上に形成されたゲート誘電体層を図示する横断側面図である。
1つまたは複数の実施形態において、フィン・テンプレート121、垂直フィン111、ライナ171およびスペーサ溝181の露出面上にゲート誘電体層200が形成されることができ、ここでゲート誘電体層200は、ALD、PEALD、CVD、PECVDまたはそれらの組合せによってコンフォーマルに堆積されることができる。
1つまたは複数の実施形態において、ゲート誘電体層200は、絶縁誘電体層、例えば、酸化ケイ素(SiO)、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、高K誘電体またはこれらの材料の適切な組合せであることができる。
様々な実施形態において、ゲート誘電体層200は、酸化ハフニウム(例えば、HfO)、ケイ酸ハフニウム(例えば、HfSiO)、窒化ケイ酸ハフニウム(HfSi)、酸化ランタン(例えば、La)、アルミン酸ランタン(例えば、LaAlO)、酸化ジルコニウム(例えば、ZrO)、ケイ酸ジルコニウム(例えば、ZrSiO)、窒化ケイ酸ジルコニウム(ZrSi)、酸化タンタル(例えば、TaO、Ta)、酸化チタン(例えば、TiO)、チタン酸バリウム・ストロンチウム(例えば、BaTiO-SrTiO)、チタン酸バリウム(例えば、BaTiO)、チタン酸ストロンチウム(例えば、SrTiO)、酸化イットリウム(例えば、Y)、酸化アルミニウム(例えば、Al)、タンタル酸鉛スカンジウム(Pb(ScTa1-x)O)およびニオブ酸鉛亜鉛(例えば、PbZn1/3Nb2/3)などの遷移金属酸化物を含むことができるが、これらに限定されない高K誘電材料であることができる。高k材料は、ランタンまたはアルミニウムあるいはその両方などのドーパントを更に含むことができる。高K化合物の化学量は変動することができる。
1つまたは複数の実施形態において、ゲート誘電体層200は、約1nm~約4nmの範囲の厚さを有することができる、または約1nm~約2nmの範囲の厚さを有することができる。
図22は、本発明の一実施形態に従って、ゲート誘電体層上の仕事関数層を図示する横断側面図である。
1つまたは複数の実施形態において、ゲート誘電体層200の露出面上に仕事関数層210が形成されることができ、ここで仕事関数層210は、ALD、PEALD、CVD、PECVDまたはそれらの組合せによってコンフォーマルに堆積されることができる。
1つまたは複数の実施形態において、ゲート誘電体層200上に仕事関数層210が形成されることができ、ここで仕事関数層210およびゲート誘電体層200は、ゲート構造の一部として1つまたは複数の垂直フィン111の各々の少なくとも一部分を包囲することができる。仕事関数層210は、ゲート誘電体層200上に形成されてゲート電極の電気的性質を調節することができる。様々な実施形態において、仕事関数層は任意選択でありえる。仕事関数層210の一部分が、スペーサ溝181およびライナ171上のゲート誘電体層200上に形成されることができる。
様々な実施形態において、仕事関数層210は、窒化チタン(TiN)、窒化チタン・アルミニウム(TiAlN)、窒化ハフニウム(HfN)、窒化ハフニウム・シリコン(HfSiN)、窒化タンタル(TaN)、窒化タンタル・シリコン(TaSiN)、窒化タングステン(WN)、窒化モリブデン(MoN)、窒化ニオブ(NbN)を含むがこれらに限定されない、導電性窒化物;炭化チタン(TiC)、炭化チタン・アルミニウム(TiAlC)、炭化タンタル(TaC)、炭化ハフニウム(HfC)を含むがこれらに限定されない、導電性炭化物;またはそれらの組合せであることができる。仕事関数層210は仕事関数材料の複数の層を含むことができ、例えば、仕事関数層はTiN/TiCスタックであることができる。
様々な実施形態において、仕事関数層210は、約1nm~約11nmの範囲の厚さを有することができる、または約1nm~約3nmの範囲の厚さを有することができる。
図23は、本発明の一実施形態に従って、仕事関数層上のゲート・フィル層を図示する横断側面図である。
1つまたは複数の実施形態において、ゲート誘電体層200または、存在すれば、仕事関数層210あるいはその両方上にゲート・フィル層220が形成されることができ、ここでゲート・フィル層220は垂直フィン111間の空間を充填することができる。ゲート・フィル層220、ゲート誘電体層200、および任意選択で仕事関数層210は、1つまたは複数の垂直フィン111上にゲート構造を形成することができ、ここでゲート・フィル層220および仕事関数層210は導電性ゲート電極を形成することができる。
様々な実施形態において、ゲート・フィル層220は、pドープ・ポリ・シリコン(p-Si)、nドープ・ポリ・シリコン、導電性金属、ここで金属はタングステン(W)もしくはコバルト(Co)、または導電性炭素材料(例えば、カーボン・ナノチューブ、グラフェン等)であることができ、あるいはそれらのいずれかの適切な組合せであることができる。ゲート・フィル層220は、強pドープ・ポリ・シリコンでありpFETを形成する、または強nドープ・ポリ・シリコンでありnFETを形成することができる。
1つまたは複数の実施形態において、ゲート・フィル層220はブランケット堆積されることができ、そしてゲート誘電体層200または、存在すれば、仕事関数層210あるいはその両方の上面上方に広がるゲート・フィル層材料を除去するために化学機械研磨(CMP)が使用されることができ、ここでCMPは平滑な平面を提供することができる。
図24は、本発明の一実施形態に従って、垂直フィン上に形成されたゲート構造、およびゲート構造の露出部分上に形成された上部スペーサを図示する横断側面図である。
1つまたは複数の実施形態において、ゲート・フィル層220、ゲート誘電体層200または、存在すれば、仕事関数層210あるいはその組合せが凹まされて、上部スペーサ層230の形成のための空間を提供することができる。様々な実施形態において、ゲート・フィル層220、ゲート誘電体層200または仕事関数層210あるいはその組合せの各々は選択エッチングを使用して除去されることができる。ゲート・フィル層220、ゲート誘電体層200または仕事関数層210あるいはその組合せは、フィン・テンプレート121以下の深さまで除去されて、垂直フィン111の上面上の上部ソース/ドレインの形成のための空間を提供することができる。
1つまたは複数の実施形態において、上部スペーサ層230は、ライナ層170か、スペーサ層180かそれらの複数の層のために使用される同じ材料であることができる。
図25は、本発明の一実施形態に従って、各垂直フィンの上面上の上部ソース/ドレインおよびソース/ドレイン接点を図示する横断側面図である。
1つまたは複数の実施形態において、上部スペーサ層230の露出面上に層間誘電体(ILD)層240が形成されることができ、ここで層間誘電体(ILD)層240は、ブランケット堆積されて垂直フィン111またはフィン・テンプレート121あるいはその両方間の空間を充填することができる。
1つまたは複数の実施形態において、ILD層240は、酸化ケイ素(SiO)、低k誘電体、流動性高分子材料またはそれらの組合せであることができる。低k誘電材料としては、フッ化物ドープ酸化ケイ素(例えば、フッ化物ドープ・ガラス)、炭素ドープ酸化ケイ素、多孔質酸化ケイ素、スピン・オン・シリコン・ベースの高分子材料(例えば、水素シルセスキオキサン(HSQ)およびメチルシルセスキオキサン(MSQ))またはそれらの組合せを含むことができるが、これらに限定されない。様々な実施形態において、ILD層240はCVDによって形成される、またはスピン・オンされることができる。
1つまたは複数の実施形態において、ILD層240の高さがCMPを使用して減少されて、ゲート誘電体層200または仕事関数層210の上面を露出させることができる。ゲート誘電体層200および仕事関数層210の露出部分を除去して下位フィン・テンプレート121を露出させるために、選択エッチングが使用されることができる。フィン・テンプレート121が除去されて下位垂直フィン111の上面を露出させることができ、ここで垂直フィン111の上面113は結晶面を有することができる。
1つまたは複数の実施形態において、垂直フィン111の各々上に上部ソース/ドレイン250が形成されることができ、ここで上部ソース/ドレイン250は結晶上面113上にエピタキシャルに成長されることができる。
1つまたは複数の実施形態において、ILD層240に金属電極260が形成されて、上部ソース/ドレイン250および垂直フィン111の各々への導電電気径路を提供して、巻込ゲート構造ならびに、ライナ171およびスペーサ溝181を含むU字形またはL字形下部スペーサを持つ垂直輸送FinFETを形成することができる。上部ソース/ドレイン250に対する電気接点を形成する金属電極260と同時に、下部ソース/ドレインに対する下部接点が製造されることができる。
図26は、本発明の一実施形態に従って、nFETおよび隣接するpFETのための垂直フィン、ゲート構造、ソース/ドレインおよび電気接点を図示する、垂直フィンの長軸の横断側面図である。
1つまたは複数の実施形態において、下部溝、ゲート構造およびILD層240の一部分が除去されて、下部ソース/ドレイン領域115に対する下部ソース/ドレイン接点290を形成することができる。下部溝、ゲート構造およびILD層240の一部分は、一連の選択マスキングおよびエッチング・プロセスによって除去されて下位下部ソース/ドレイン領域115を露出させることができる。下部ソース/ドレイン領域115ならびにゲート構造およびILD層240の垂直側面上に接点領域ライナ270が形成されて、導電ゲート材料(すなわち、ゲート電極)を電気的に分離することができる。接点領域ライナ270上に第2のILD層280が形成されることができ、そして第2のILD層280および接点領域ライナ270にトレンチまたはビアが形成されて下部ソース/ドレイン領域115の少なくとも一部分を露出させることができる。ILD層280に下部ソース/ドレイン接点290が形成されて、下部ソース/ドレイン領域115の各々への導電電気径路を提供することができる。下部ソース/ドレイン接点290および金属電極260は同じ堆積を使用して同時に形成されることができる。
下部ソース/ドレイン領域115および拡張領域116が下部ソース/ドレインを形成することができる。
「1つの実施形態」または「一実施形態」の他にその他の変形への本明細書における言及は、実施形態と関連して記載される特定の特徴、構造、特性等が少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書を通して様々な場所に出現する句「1つの実施形態において」または「一実施形態において」の他にいかなる他の変形の出現も、必ずしも全て同じ実施形態に言及しているわけではない。
層、領域または基板などの要素が別の要素「上に」または「上方に」あると言及される場合、それは直接他方の要素上にあることができる、または介在要素が存在することもできることも理解されるであろう。対照的に、要素が別の要素「上に直接」または「上方に直接」あると言及される場合、存在する介在要素はない。要素が別の要素に「接続」または「結合」されていると言及される場合、それは他方の要素に直接接続または結合されることができる、または介在要素が存在することができることも理解されるであろう。対照的に、要素が別の要素に「直接接続」または「直接結合」されていると言及される場合、存在する介在要素はない。
本実施形態は、集積回路チップのための設計を含むことができ、それはグラフィカル・コンピュータ・プログラミング言語で作成されてコンピュータ記憶媒体(ディスク、テープ、物理ハード・ドライブ、またはストレージ・アクセス・ネットワークにおけるような仮想ハード・ドライブなど)に記憶されることができる。設計者がチップ、またはチップを製造するために使用されるフォトリソグラフィ・マスクを製造しない場合、設計者は、結果的な設計をそのようなエンティティに物理的手段によって(例えば、設計を記憶した記憶媒体のコピーを提供することによって)または電子的に(例えば、インターネットを通じて)、直接的または間接的に伝送することができる。記憶された設計は次いで、ウエハ上に形成されることになる当該チップ設計の複数のコピーを典型的に含むフォトリソグラフィ・マスクの製造に適切な形式(例えば、GDSII)へ変換される。フォトリソグラフィ・マスクは、エッチングまたはその他加工されることになるウエハ(またはその上の層あるいはその両方)の領域を画定するために活用される。
本明細書に記載される方法は、集積回路チップの製造に使用されることができる。結果的な集積回路チップは、未加工ウエハ形態で(すなわち、複数の未パッケージ化チップを有する単一ウエハとして)、ベア・ダイとして、またはパッケージ化形態で製造者によって流通されることができる。後者の場合、チップは、シングル・チップ・パッケージ(マザーボードまたは他の高レベル・キャリアに添付されるリード付きの、プラスチック・キャリアなど)に、またはマルチチップ・パッケージ(表面相互接続または埋込相互接続の一方または両方を有するセラミック・キャリアなど)に実装される。いずれの場合も、チップは次いで、(a)マザーボードなどの中間製品か(b)最終製品かの一部として他のチップ、ディスクリート回路要素または他の信号処理デバイスあるいはその組合せと集積される。最終製品は、玩具および他のロー・エンド用途からディスプレイ、キーボードまたは他の入力デバイス、および中央プロセッサを有する高度コンピュータ製品に及ぶ、集積回路チップを含むいかなる製品でもあることができる。
材料化合物が列記した元素、例えば、SiGeに関して記載されることになることも理解されるべきである。これらの化合物は、化合物内の元素の異なる比率を含み、例えば、SiGeは、xを1以下としてSiGe1-xを含む、等。加えて、他の元素が化合物に含まれて、依然として本原理に従って機能することができる。添加元素を持つ化合物は、本明細書において合金と称されることになる。
例えば「A/B」、「AまたはBあるいはその両方」ならびに「AおよびBの少なくとも一方」の場合における以下「/」、「~または~あるいはその両方」および「~の少なくとも一方」のいずれかの使用は、第1の列記した選択肢(A)だけの選択、または第2の列記した選択肢(B)だけの選択、または両選択肢(AおよびB)の選択を包含すると意図されることが認識されるはずである。更なる例として、「A、BまたはCあるいはその組合せ」ならびに「A、BおよびCのうちの少なくとも1つ」の場合において、そのような語法は、第1の列記した選択肢(A)だけの選択、または第2の列記した選択肢(B)だけの選択、または第3の列記した選択肢(C)だけの選択、または第1および第2の列記した選択肢(AおよびB)だけの選択、または第1および第3の列記した選択肢(AおよびC)だけの選択、または第2および第3の列記した選択肢(BおよびC)だけの選択、または全ての3つの選択肢(AおよびBおよびC)の選択を包含すると意図される。これは、当業者によって直ちに明らかなように、列記されるだけの項目に対して拡張されることができる。
本明細書で使用される術語は特定の実施形態を説明する目的のためだけであり、実施形態例を限定するとは意図されない。本明細書で使用される場合、文脈が別途明示しない限り、単数形「或る1つ(a)」、「或る1つ(an)」および「その1つ(the)」は複数形も含むと意図される。用語「備える(comprises)」、「備えている(comprising)」、「含む(includes)」もしくは「含んでいる(including)」またはその組合せは、本明細書で使用される場合、明示される特徴、整数、ステップ、動作、要素もしくは部品またはその組合せの存在を特定するが、しかし1つまたは複数の他の特徴、整数、ステップ、動作、要素、部品もしくはその群またはその組合せの存在または追加を排除しないことが更に理解されるであろう。
図に例示される、1つの要素のまたは特徴の別の要素または特徴との関係を説明するために、説明を容易にするように、「下に(beneath)」、「下方に(below)」、「下方の(lower)」、「上方に(above)」、「上方の(upper)」等などの空間相対語が本明細書で使用されることができる。空間相対語が図に描かれる向きに加えて使用または動作の際のデバイスの異なる向きを包含すると意図されることが理解されるであろう。例えば、図におけるデバイスがひっくり返された場合、他の要素または特徴「下方に(below)」または「下に(beneath)」と記載される要素は、同他の要素または特徴「上方に(above)」向けられることになる。したがって、用語「下方に(below)」は、上下の両方の向きを包含することができる。デバイスは別の方法で向けられる(90度または他の向きに回転される)ことができ、そして本明細書で使用される空間相対記述語はそれに応じて解釈されることができる。加えて、層が2つの層「間に(between)」あると言及される場合、それが2つの層間の唯一の層であることができる、または1つもしくは複数の介在層が存在することもできることも理解されるであろう。
様々な要素を記載するために、用語第1の、第2の等が本明細書で使用されることができるとはいえ、これらの要素がこれらの用語によって限定されるべきでないことが理解されるであろう。これらの用語は、1つの要素を別の要素と区別するために使用されるだけである。したがって、本概念の範囲から逸脱することなく、後述される第1の要素が第2の要素と称され得る。
デバイスおよび方法の好適な実施形態(例示的であり限定的ではないと意図される)を記載したが、上記教示を考慮して当業者によって変更および変形がなされることができることが留意される。したがって、添付の特許請求の範囲によって概説される本発明の範囲内である、開示した特定の実施形態の変更がなされてもよいことが理解されるはずである。このように、特許法によって要求される詳細および特殊性と共に本発明の態様を記載したが、特許証によって保護される、特許請求および所望されることは、添付の特許請求の範囲に明らかにされる。

Claims (19)

  1. 垂直輸送フィン電界効果トランジスタ(VT FinFET)であって、
    基板の表面上の1つまたは複数の垂直フィンと、
    前記1つまたは複数の垂直フィンのうちの少なくとも1つの垂直フィンに隣接する前記基板上のL字形またはU字形のスペーサ溝であって、前記スペーサ溝の側壁が、前記1つまたは複数の垂直フィンのうちの少なくとも1つの垂直フィンの側壁上にある、前記スペーサ溝と、
    前記1つまたは複数の垂直フィンのうちの少なくとも1つの垂直フィンの前記側壁上に且つ前記L字形またはU字形のスペーサ溝の内側側壁及び溝上に直接的に接するゲート誘電体層と
    を備える、前記垂直輸送フィン電界効果トランジスタ。
  2. 前記L字形またはU字形のスペーサ溝の材料が窒化ケイ素(SiN)である、請求項1に記載の垂直輸送フィン電界効果トランジスタ。
  3. 前記L字形またはU字形のスペーサ溝と前記1つまたは複数の垂直フィンのうちの少なくとも1つの垂直フィンとの間のライナを更に備える、請求項1または2に記載の垂直輸送フィン電界効果トランジスタ。
  4. 前記ライナの材料が酸化ケイ素(SiO)である、請求項3に記載の垂直輸送フィン電界効果トランジスタ。
  5. 前記L字形またはU字形のスペーサ溝が、1nm~5nmの範囲の溝面上方の高さを持つ内側側壁を有する、請求項1~4のいずれか1項に記載の垂直輸送フィン電界効果トランジスタ。
  6. 前記ライナがL字形またはU字形である、請求項3若しくは4に記載の、又は請求項3若しくは4に従属する場合の請求項5に記載の垂直輸送フィン電界効果トランジスタ。
  7. 前記ゲート誘電体層上の仕事関数層、および前記仕事関数層上のゲート・フィル層を更に備えてゲート構造を形成する、請求項1~6のいずれか1項に記載の垂直輸送フィン電界効果トランジスタ。
  8. 前記1つまたは複数の垂直フィンのうちの少なくとも1つの垂直フィンの上面上の上部ソース/ドレイン、および前記1つまたは複数の垂直フィンのうちの少なくとも1つの垂直フィンの下方の下部ソース/ドレインを更に備える、請求項1~7のいずれか1項に記載の垂直輸送フィン電界効果トランジスタ。
  9. 垂直輸送フィン電界効果トランジスタを形成する方法であって、
    基板上に1つまたは複数の垂直フィンを形成すること、
    前記1つまたは複数の垂直フィンのうちの少なくとも1つの垂直フィンの基部に拡張領域を形成すること、
    前記基板および前記1つまたは複数の垂直フィンの露出面上にスペーサ層を形成すること、
    前記スペーサ層上にゲージ層を形成すること、
    前記ゲージ層の一部分を除去して前記スペーサ層上に1つまたは複数のゲージ部を形成することであって、前記ゲージ層の前記一部分を除去することによって前記1つまたは複数の垂直フィン上の前記スペーサ層の一部分が露出される、前記形成すること、及び
    前記スペーサ層の前記露出された一部分を除去してL字形またはU字形スペーサ溝を形成すること、
    を含む、前記方法。
  10. 垂直輸送フィン電界効果トランジスタを形成する方法であって、
    基板上に1つまたは複数の垂直フィンを形成すること、
    前記基板および前記1つまたは複数の垂直フィンの露出面上にスペーサ層を形成すること、
    前記スペーサ層上にゲージ層を形成すること、
    前記ゲージ層の一部分を除去して前記スペーサ層上に1つまたは複数のゲージ部を形成することであって、前記ゲージ層の前記一部分を除去することによって前記1つまたは複数の垂直フィン上の前記スペーサ層の一部分が露出される、前記形成すること、
    前記スペーサ層の前記露出された一部分を除去してL字形またはU字形スペーサ溝を形成すること、
    前記基板と前記スペーサ層との間におよび前記1つまたは複数の垂直フィンと前記スペーサ層との間にライナ層を形成すること、及び
    前記スペーサ層の前記露出された一部分を除去することによって露出される前記1つまたは複数の垂直フィン上の前記ライナ層の一部分を除去すること
    を含む、前記方法。
  11. 前記1つまたは複数のゲージ部を除去して前記L字形またはU字形スペーサ溝の内側側壁を露出させることであって、前記内側側壁が1nm~5nmの範囲の溝面上方の高さを有する、前記露出させること
    を更に含む、請求項9または10に記載の方法。
  12. 前記1つまたは複数の垂直フィンの前記露出面上および前記L字形またはU字形のスペーサ溝の内側側壁上にゲート誘電体層を形成することを更に含む、請求項11に記載の方法。
  13. 前記L字形またはU字形のスペーサ溝の材料が窒化ケイ素(SiN)である、請求項9~12のいずれか1項に記載の方法。
  14. 前記1つまたは複数の垂直フィンのうちの少なくとも1つの垂直フィンの上面上に上部ソース/ドレインを、および前記1つまたは複数の垂直フィンのうちの少なくとも1つの垂直フィンの下方に下部ソース/ドレインを形成すること
    を更に含む、請求項9~13のいずれか1項に記載の方法。
  15. 垂直輸送フィン電界効果トランジスタを形成する方法であって、
    基板上に1つまたは複数の垂直フィンを形成すること、
    前記基板および前記1つまたは複数の垂直フィンの露出面上にライナ層を形成すること、
    前記ライナ層の少なくとも一部分上にスペーサ層を形成すること、
    前記スペーサ層上にゲージ層を形成すること、
    前記ゲージ層の一部分を除去して前記スペーサ層上に1つまたは複数のゲージ部を形成することであって、前記ゲージ層の前記一部分を除去することによって前記1つまたは複数の垂直フィン上の前記スペーサ層の一部分が露出される、前記形成すること、
    前記スペーサ層の前記露出された一部分を除去することであって、前記スペーサ層の前記露出された一部分を除去することが、下位のライナ層の一部分を露出させる、前記除去すること、
    前記1つまたは複数の垂直フィン上の前記下位のライナ層の前記露出された一部分を除去すること
    含む、前記方法。
  16. 前記スペーサ層の前記露出された一部分を除去することがL字形またはU字形スペーサ溝を形成する、請求項15に記載の方法。
  17. 前記下位のライナ層の前記露出された一部分を除去することがL字形またはU字形ライナを形成する、請求項15又は16に記載の方法。
  18. 前記L字形またはU字形スペーサ溝および前記1つまたは複数の垂直フィンの前記露出上にゲート誘電体を形成することを更に含む、請求項16または17に記載の方法。
  19. 前記スペーサ層が3nm~8nmの範囲の厚さを有する、請求項15~18のいずれか1項に記載の方法。
JP2019541395A 2017-02-06 2018-01-17 垂直輸送フィン電界効果トランジスタおよび垂直輸送フィン電界効果トランジスタの形成方法 Active JP7011190B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/425,496 US10840354B2 (en) 2017-02-06 2017-02-06 Approach to bottom dielectric isolation for vertical transport fin field effect transistors
US15/425,496 2017-02-06
US15/860,957 US10629702B2 (en) 2017-02-06 2018-01-03 Approach to bottom dielectric isolation for vertical transport fin field effect transistors
US15/860,957 2018-01-03
PCT/IB2018/050287 WO2018142233A1 (en) 2017-02-06 2018-01-17 Approach to bottom dielectric isolation for vertical transport fin field effect transistors

Publications (2)

Publication Number Publication Date
JP2020507213A JP2020507213A (ja) 2020-03-05
JP7011190B2 true JP7011190B2 (ja) 2022-01-26

Family

ID=63037351

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019541395A Active JP7011190B2 (ja) 2017-02-06 2018-01-17 垂直輸送フィン電界効果トランジスタおよび垂直輸送フィン電界効果トランジスタの形成方法

Country Status (6)

Country Link
US (3) US10840354B2 (ja)
JP (1) JP7011190B2 (ja)
CN (1) CN110235224B (ja)
DE (1) DE112018000201T5 (ja)
GB (1) GB2573711B (ja)
WO (1) WO2018142233A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108630751B (zh) * 2017-03-21 2022-02-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102314006B1 (ko) * 2017-09-11 2021-10-18 삼성전자주식회사 수직 전계 트랜지스터 및 이를 포함하는 반도체 장치
CN109686702B (zh) 2017-10-19 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10867859B2 (en) * 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
US10510874B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10797138B2 (en) * 2018-04-09 2020-10-06 Globalfoundries Inc. Vertical-transport field-effect transistors with self-aligned contacts
US10916638B2 (en) * 2018-09-18 2021-02-09 International Business Machines Corporation Vertical fin field effect transistor devices with reduced top source/drain variability and lower resistance
US11309405B2 (en) 2019-06-18 2022-04-19 Samsung Electronics Co., Ltd. Vertical field effect transistor device having protruded shallow trench isolation and method for manufacturing the same
US11189712B2 (en) 2019-08-22 2021-11-30 International Business Machines Corporation Formation of vertical transport field-effect transistor structure having increased effective width
US11152266B2 (en) 2019-10-02 2021-10-19 International Business Machines Corporation Vertical tunneling field effect transistor with dual liner bottom spacer
CN111106160B (zh) * 2019-12-06 2023-12-19 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN111106177B (zh) * 2019-12-06 2023-09-29 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US11538939B2 (en) * 2020-01-14 2022-12-27 International Business Machines Corporation Controlled bottom junctions
US11282752B2 (en) 2020-02-05 2022-03-22 Samsung Electronics Co., Ltd. Method of forming vertical field-effect transistor devices having gate liner
US11251094B2 (en) 2020-02-07 2022-02-15 International Business Machines Corporation Oxygen vacancy passivation in high-k dielectrics for vertical transport field effect transistor
CN113314605B (zh) * 2020-02-26 2023-11-21 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
US11271107B2 (en) 2020-03-24 2022-03-08 International Business Machines Corporation Reduction of bottom epitaxy parasitics for vertical transport field effect transistors
JP2022172710A (ja) * 2021-05-06 2022-11-17 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置
CN115662990A (zh) * 2021-07-08 2023-01-31 长鑫存储技术有限公司 半导体结构及半导体结构的制作方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005501424A (ja) 2001-08-31 2005-01-13 インターナショナル・ビジネス・マシーンズ・コーポレーション 縦型デュアル・ゲート電界効果トランジスタ
US20070004149A1 (en) 2003-10-30 2007-01-04 Helmut Tews Method for producing a vertical field effect transistor
US20150236086A1 (en) 2014-02-19 2015-08-20 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structures and methods for multi-level work function

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100416377B1 (ko) 2001-06-02 2004-01-31 삼성전자주식회사 ㄴ 자형 스페이서를 이용하는 반도체 트랜지스터 및 그제조 방법
JP4904815B2 (ja) 2003-10-09 2012-03-28 日本電気株式会社 半導体装置及びその製造方法
US6970372B1 (en) 2004-06-29 2005-11-29 International Business Machines Corporation Dual gated finfet gain cell
KR100640653B1 (ko) 2005-07-15 2006-11-01 삼성전자주식회사 수직채널을 가진 반도체소자의 제조방법 및 이를 이용한반도체소자
US7524282B2 (en) 2005-08-29 2009-04-28 Boston Scientific Scimed, Inc. Cardiac sleeve apparatus, system and method of use
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US7652332B2 (en) 2007-08-10 2010-01-26 International Business Machines Corporation Extremely-thin silicon-on-insulator transistor with raised source/drain
KR101320518B1 (ko) * 2007-10-24 2013-12-19 삼성전자주식회사 적층 레벨의 트랜지스터들을 갖는 집적 회로 반도체 소자및 그 제조방법
JP2010123744A (ja) * 2008-11-19 2010-06-03 Elpida Memory Inc 半導体装置および半導体装置の製造方法
US8404592B2 (en) 2009-07-27 2013-03-26 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using L-shaped spacers
US9263276B2 (en) 2009-11-18 2016-02-16 International Business Machines Corporation High-k/metal gate transistor with L-shaped gate encapsulation layer
JP5087655B2 (ja) * 2010-06-15 2012-12-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
US8796772B2 (en) 2012-09-24 2014-08-05 Intel Corporation Precision resistor for non-planar semiconductor device architecture
US8937369B2 (en) 2012-10-01 2015-01-20 United Microelectronics Corp. Transistor with non-uniform stress layer with stress concentrated regions
US9941271B2 (en) * 2013-10-04 2018-04-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Fin-shaped field effect transistor and capacitor structures
US9059042B2 (en) 2013-11-13 2015-06-16 Globalfoundries Inc. Methods of forming replacement gate structures and fins on FinFET devices and the resulting devices
KR102130056B1 (ko) * 2013-11-15 2020-07-03 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
US9378975B2 (en) 2014-02-10 2016-06-28 Tokyo Electron Limited Etching method to form spacers having multiple film layers
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9698261B2 (en) * 2014-06-30 2017-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical device architecture
US9911848B2 (en) * 2014-08-29 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor and method of manufacturing the same
US9373620B2 (en) * 2014-09-12 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Series connected transistor structure and method of manufacturing the same
US9287362B1 (en) 2014-11-21 2016-03-15 International Business Machines Corporation Vertical field effect transistors with controlled overlap between gate electrode and source/drain contacts
US9299835B1 (en) 2014-12-04 2016-03-29 International Business Machines Corporation Vertical field effect transistors
US9312383B1 (en) * 2015-08-12 2016-04-12 International Business Machines Corporation Self-aligned contacts for vertical field effect transistors
US9368572B1 (en) 2015-11-21 2016-06-14 International Business Machines Corporation Vertical transistor with air-gap spacer
US9431305B1 (en) * 2015-12-18 2016-08-30 International Business Machines Corporation Vertical transistor fabrication and devices
US9870957B2 (en) * 2016-06-16 2018-01-16 Samsung Electronics Co., Ltd. Vertical fin field effect transistor (V-FinFET), semiconductor device having V-FinFET and method of fabricating V-FinFET
US9825031B1 (en) 2016-08-05 2017-11-21 Globalfoundries Inc. Methods of forming a high-k contact liner to improve effective via separation distance and the resulting devices
US9728635B1 (en) 2016-10-13 2017-08-08 International Business Machines Corporation Uniform gate length in vertical field effect transistors
US9773901B1 (en) * 2016-10-26 2017-09-26 International Business Machines Corporation Bottom spacer formation for vertical transistor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005501424A (ja) 2001-08-31 2005-01-13 インターナショナル・ビジネス・マシーンズ・コーポレーション 縦型デュアル・ゲート電界効果トランジスタ
US20070004149A1 (en) 2003-10-30 2007-01-04 Helmut Tews Method for producing a vertical field effect transistor
US20150236086A1 (en) 2014-02-19 2015-08-20 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structures and methods for multi-level work function

Also Published As

Publication number Publication date
GB2573711B (en) 2021-09-29
US20180226491A1 (en) 2018-08-09
US20180226489A1 (en) 2018-08-09
DE112018000201T5 (de) 2019-08-14
WO2018142233A1 (en) 2018-08-09
US10629702B2 (en) 2020-04-21
US10840354B2 (en) 2020-11-17
CN110235224A (zh) 2019-09-13
US20200212202A1 (en) 2020-07-02
GB2573711A (en) 2019-11-13
CN110235224B (zh) 2023-08-11
GB201911360D0 (en) 2019-09-25
US11302797B2 (en) 2022-04-12
JP2020507213A (ja) 2020-03-05

Similar Documents

Publication Publication Date Title
JP7011190B2 (ja) 垂直輸送フィン電界効果トランジスタおよび垂直輸送フィン電界効果トランジスタの形成方法
US11444083B2 (en) Fabrication of fin field effect transistors utilizing different fin channel materials while maintaining consistent fin widths
US10170596B2 (en) Fabrication of an isolated dummy fin between active vertical fins with tight fin pitch
US10431659B2 (en) Fabrication of a vertical fin field effect transistor with a reduced contact resistance
US10411127B2 (en) Forming a combination of long channel devices and vertical transport fin field effect transistors on the same substrate
US10886271B2 (en) Fabrication of fin field effect transistors for complementary metal oxide semiconductor devices including separate n-type and p-type source/drains using a single spacer deposition
US10903361B2 (en) Fabrication of a vertical field effect transistor device with a modified vertical fin geometry
US10396069B2 (en) Approach to fabrication of an on-chip resistor with a field effect transistor

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200722

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210512

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20210824

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210824

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20210824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211202

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20211202

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20211224

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20211224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211227

R150 Certificate of patent or registration of utility model

Ref document number: 7011190

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150