TW201608049A - 穩定反應室壓力的方法 - Google Patents

穩定反應室壓力的方法 Download PDF

Info

Publication number
TW201608049A
TW201608049A TW104110326A TW104110326A TW201608049A TW 201608049 A TW201608049 A TW 201608049A TW 104110326 A TW104110326 A TW 104110326A TW 104110326 A TW104110326 A TW 104110326A TW 201608049 A TW201608049 A TW 201608049A
Authority
TW
Taiwan
Prior art keywords
line
gas
reaction chamber
waveform
source gas
Prior art date
Application number
TW104110326A
Other languages
English (en)
Other versions
TWI663283B (zh
Inventor
中野竜
安達涉
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201608049A publication Critical patent/TW201608049A/zh
Application granted granted Critical
Publication of TWI663283B publication Critical patent/TWI663283B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

本發明提供一種使用第一氣體和第二氣體在製程期間穩定反應室壓力的方法,其中進氣管線連接到該反應室,且第二氣體管線和第一氣體管線連接到該進氣管線另一端。該方法包括:透過該第一氣體管線和該進氣管線根據波形以脈衝進給第一氣體到該反應室;以及透過該第二氣體管線和該進氣管線根據反向波形以脈衝進給第二氣體到該反應室,其中該波形與反向波形之疊加波形實質上或接近平坦,從而穩定該反應室壓力。

Description

穩定反應室壓力的方法
本發明一般係關於一種用於反應室的氣體供給系統,尤其係關於一種在供給氣體到該反應室時穩定反應室壓力的方法。
在原子層沉積(Atomic layer deposition,ALD)製程中,為達到良好製程結果及良好元件穩定性,控制構成用於成膜的來源氣體的材料之流量率和供給時間非常重要。當在周圍溫度下具有相對較低蒸氣壓的液體材料或固體材料用作來源材料時,所需蒸氣壓可藉由加熱內含該材料的貯槽(或瓶罐)很容易得到,且當供給該材料到反應室時的壓力擾動可被抑制至不明顯程度。圖1例示用於根據背景技術供給具有低蒸氣壓的來源氣體到反應室(Reaction chamber,RC)1的氣體供給系統之示意圖,其中在(a)中不包括一氣化來源氣體的氣體透過設有質量流量控制器(Mass flow controller,MFC)3和閥v1的氣體管線5供給到反應室1,且在(b)中包括在瓶罐(Bottle,BTL)2中所氣化之一來源氣體的氣體透過設有質量流量控制器3、閥v2的進入管線6、瓶罐2、及設有閥v3的出口管線7供給到該反應室。在(a)中,閥v1打開,而閥v2和閥v3關閉,然而在(b)中,閥v1關閉,而閥v2和閥v3打開。在反應室1中的壓力在該製程期間係由設有壓 力控制閥4的排氣管線9控制。
不過,當使用在周圍溫度下具有高蒸氣壓的氣體材料或液體材料時,由於該材料體積龐大,因此該材料係過量供給到反應室。該材料之過量供給造成負面作用,例如,降低材料吸附於基板表面上之面內均勻性、延長從該反應室去除該材料的清洗時間、在該反應室中的壓力擾動、及增加該材料使用量。因此,為控制該供給材料量,蒸氣壓可藉由冷卻該材料貯槽而降低。不過,為此目的須安裝冷卻設備,並需要複雜的硬體和控制。圖2例示用於根據背景技術供給具有高蒸氣壓的來源氣體到反應室1的氣體供給系統之示意圖。在圖2中的此氣體供給系統實質上類似於在圖1中所例示者,其中相同參考號碼指示如在圖1中的相同部位,不同之處在於冷卻套8環繞瓶罐2安裝,使得具有高蒸氣壓的該材料不會產生過量蒸氣。
還有其他方法可處理具有高蒸氣壓的材料。圖3例示用於根據改良式背景技術供給具有高蒸氣壓的來源氣體到反應室1的氣體供給系統之示意圖,其中在(a)中來源氣體透過設有閥v4的氣體管線5經由質量流量控制器(MFC)11供給到反應室1,且在(b)中來源氣體透過閥v4和節流閥13經由MFC 11和自動壓力調節器(Auto-pressure regulator,APR)12供給到反應室1。在上述內容中,MFC 11安裝於瓶罐2下游或設於無瓶罐的來源氣體供應管線中,其中該材料在室溫下為氣態。由於MFC 11之回應速度很低,因此在(a)中使用MFC 11的配置不足以控制先進ALD循環,亦即在極短時段內(如0.1至1.0秒)轉換氣體。使用MFC 11和ARP 12的配置為閥轉換脈衝型,其中流量係藉由結合該APR打開及關閉該閥所控制。由於該 APR具有較該MFC更高的回應速度,因此該配置可用於ALD循環。不過,由於在該反應室中的壓力當該來源氣體藉由該閥和該APR之操作向其供給時會增加,因此很大的壓力擾動隨著該氣體供給開始及結束發生。然後,壓力控制閥4會藉由打開壓力控制閥4抵消該反應室中的壓力增加。由於壓力控制閥4之操作並非高度敏感,且具有延遲,因此該反應室中的壓力抵消過度。結果,微粒產生之風險因來自排氣管線9或其類似物的反向鼓風而變高。
圖4例示當使用在圖3(b)中所例示之該氣體供給系統時,顯示隨時間在該反應室中的來源氣體流量變化和反應室壓力變化的圖表。該來源氣體流量如在圖4中所顯示係急劇或立刻增加及減少。在該反應室中的壓力如在圖4中所顯示係隨著該來源氣體流量變化而變化。當該反應室中的壓力增加時,安裝於該反應室下游的該壓力控制閥會回應該壓力增加並藉由打開該閥開始降低該壓力,以維持在該反應室中的恆定壓力。不過,當該壓力因該壓力控制閥之操作而開始下降時,該來源氣體流動會停止,結果,該反應室中的壓力抵消過度,並因該回應延遲而變得過低。此現象例示於圖4中,且以箭頭標記。對在改變供給氣體流量的同時維持反應室中壓力的系統而言,此類型之壓力追逐不可避免。
在相關領域中所涉及問題和解決方案之任何討論皆僅為了提供本發明上下文之目的而包括於所揭示內容中,且不應被視為承認任何或所有討論在本發明做出當時已習知。舉例來說,圖1至圖4(圖1和圖2標定出圖例「背景技術」)為本發明人例示本發明之背景,且不應被理解為代表在本發明做出當時已習知的先前技術。
為解決上述問題中至少一者,一些具體實施例提供一種氣體供給系統,其包含一機構,用於供給具有高蒸氣壓、內含化學物質的來源氣體和惰性氣體,該惰性氣體之流量可抵消該來源氣體流量之擾動,使得在該反應室中該來源氣體和該惰性氣體之總流量能在該製程期間始終恆定,其中該來源氣體或該惰性氣體之一供給到反應室,而該來源氣體或該惰性氣體之另一供給到該反應室下游的排氣管線,從而抑制在該反應容器中的壓力擾動。不過,在上述具體實施例中,由於該來源氣體或該惰性氣體之一始終供給或排出到該排氣管線,因此該機構不符合成本效益。在上述內容中,該來源氣體和該惰性氣體可為任何類型之氣體,故亦可分別指稱為「第一氣體(first gas)」和「第二氣體(second gas)」。
作為解決上述缺點的方法,一些具體實施例提供一種氣體供給系統,其包含一機構,用於藉由閥之操作開始及結束快速或瞬間以脈衝供給來源氣體到反應室;以及一機構,用於供給惰性氣體到該反應室,該惰性氣體之流量可抵消該來源氣體流量之擾動,使得在該反應室中該來源氣體和該惰性氣體之總流量能在該製程期間始終恆定,其中當該來源氣體供給到該反應室時,該惰性氣體供給到該反應室下游的排氣管線,且當無來源氣體供給時,該惰性氣體會供給到該反應室,從而抑制該反應容器中的壓力擾動。在上述具體實施例中,由於該來源氣體未供給或排出到該排氣管線,因此該機構較該最初所說明的機構更符合成本效益。
又,作為解決該壓力擾動問題的替代性方法,一些具體實施例提供一種氣體供給系統,其包含一機構,用於藉由閥之操作開始及結束 快速或瞬間以脈衝供給來源氣體到反應室;以及一機構,用於藉由動態壓力控制開始及結束快速或瞬間以反向脈衝供給惰性氣體到該反應室,以抵消該來源氣體流量之擾動,使得在該反應室中該來源氣體和該惰性氣體之總流量能在該製程期間始終恆定,從而較在最初和其次所說明的機構中更有效抑制在該反應容器中的壓力擾動。
所揭示的具體實施例可適用於內含一個或多個反應室的裝置。根據所揭示的具體實施例,具有高蒸氣壓的化學物質可使用平價硬體穩定供給到反應室。
為彙總本發明之態樣和在相關領域上所達成優勢之目的,將在所揭示內容中說明本發明之特定目的和優勢。當然,應可理解未必所有此類目的或優勢皆可根據本發明之任何特定具體實施例達成。因此,舉例來說,熟習此項技術者應能認可本發明可以達成或最佳化如於文中所講述之一個或一組優勢而不必達成如於文中可講述或建議之其他目的或優勢的方式體現或執行。
本發明之進一步態樣、特徵和優勢將從接下來的實施方式變得顯而易見。
1、51‧‧‧反應室
2‧‧‧瓶罐
3、11、61、62‧‧‧質量流量控制器
4、54‧‧‧壓力控制閥
5‧‧‧氣體管線
6‧‧‧進入管線
7‧‧‧出口管線
8‧‧‧冷卻套
9、59‧‧‧排氣管線
12、61a、62a‧‧‧自動壓力調節器
13、64、65、66‧‧‧節流閥
55‧‧‧進氣管線
55a‧‧‧惰性氣體管線;主線
55b‧‧‧來源氣體管線;主線
63‧‧‧排放管線
63a、63b‧‧‧支線
v1、v2、v3、v4、v5、v6、v7、v8、v9‧‧‧閥
本發明之這些及其他特徵現在將參照欲例示而非限制本發明的較佳具體實施例之所附圖式進行說明。所附圖式為例示性目的已大幅簡化,且不必按比例繪製。
圖1例示用於根據背景技術供給具有低蒸氣壓的來源氣體到反應室的氣體供給系統之示意圖,其中在(a)中不包括一氣化來源氣體的 氣體供給到該反應室,且在(b)中包括一氣化來源氣體的氣體供給到該反應室。
圖2例示用於根據背景技術供給具有高蒸氣壓的來源氣體到反應室的氣體供給系統之示意圖。
圖3例示用於根據改良式背景技術供給具有高蒸氣壓的來源氣體到反應室的氣體供給系統之示意圖,其中在(a)中來源氣體經由質量流量控制器(MFC)供給到反應室,且在(b)中來源氣體經由MFC和自動壓力調節器(APR)供給到反應室。
圖4例示當使用在圖3(b)中所例示之該氣體供給系統時,顯示隨時間在反應室中的來源氣體流量變化和反應室壓力變化的圖表。
圖5例示用於根據本發明具體實施例供給來源氣體到反應室的氣體供給系統之示意圖,其中在(a)中來源氣體供給到該反應室而惰性氣體供給到排氣管線,且在(b)中該來源氣體供給到該排氣管線而該惰性氣體供給到該反應室。
圖6例示當使用在圖5中所例示之該氣體供給系統時,顯示隨時間在反應室中的來源氣體流量與惰性氣體流量變化和反應室壓力變化的圖表。
圖7例示用於根據本發明另一具體實施例供給來源氣體到反應室的氣體供給系統之示意圖,其中在(a)中來源氣體供給到該反應室而惰性氣體供給到排氣管線,且在(b)中無來源氣體供給而該惰性氣體供給到該反應室。
圖8例示當使用在圖7中所例示之該氣體供給系統時,顯示 隨時間在反應室中的來源氣體流量與惰性氣體流量變化和反應室壓力變化的圖表。
圖9例示用於根據本發明又另一具體實施例供給來源氣體到反應室的氣體供給系統之示意圖,其中在(a)中來源氣體供給到該反應室且惰性氣體亦供給到該反應室,且在(b)中無來源氣體供給而該惰性氣體以增加的流量率供給到該反應室。
圖10例示當使用在圖9中所例示之該氣體供給系統時,顯示隨時間在反應室中的來源氣體流量與惰性氣體流量變化和反應室壓力變化的圖表。
圖11例示用於根據本發明再又另一具體實施例供給來源氣體到反應室的氣體供給系統之示意圖。
圖12為顯示在圖5中所例示之該氣體供給系統中反應室壓力擾動(△P[Pa])相對於針閥手柄位置的曲線圖。
圖13例示當在範例1中使用在圖5中所例示之該氣體供給系統時,顯示隨時間在反應室中的來源氣體流量與惰性氣體流量變化和反應室壓力變化的圖表。
在所揭示內容中,「氣體(gas)」可包括氣化固體及/或液體,並可由單一氣體或氣體之混合構成。同樣地,冠詞「一(a)」或「一(an)」指稱一物種或包括多個物種的一類屬。在所揭示內容中,透過噴淋頭引入到反應室的製程氣體可包括、基本上包括、或由來源氣體和添加氣體組成。該來源氣體為在基板上構成目標薄膜的氣體供給要素,並可指稱為前驅 物。該添加氣體包括一氣體,當射頻(RF)功率施加於該添加氣體時用於氧化及/或氮化該前驅物。該前驅物和該添加氣體可作為混合氣體或分開引入反應空間。該前驅物可以載體氣體或稀釋氣體(如稀有氣體)引入。非該製程氣體的氣體(即未通過該噴淋頭所引入的氣體)可用於例如密封包括一密封氣體(如稀有氣體)的反應空間。又,在所揭示內容中,由於可行範圍可基於日常工作判定,因此變量之任何兩個數字可構成該變量之可行範圍,且所指示任何範圍可包括或排除該等端點。此外,所指示變量之任何數值(不論是否用「約(about)」指示)可指稱精確值或近似值並包括等值,且在一些具體實施例中可指稱平均值、中間值、代表性、大多數值等。
在所揭示內容中,任何所定義意義在一些具體實施例中不必排除一般及通常意義。在未指定條件及/或結構的本發明所揭示內容中,熟習此項技術者如日常實驗般很容易就能鑑於本發明所揭示內容提供此種條件及/或結構。在全部所揭示具體實施例中,在具體實施例中所使用的任何元件皆可用與之相等的任何元件取代,包括那些為預期目的而於文中明確、必要或本質上所揭示者。又,本發明可同等適用於裝置及方法。
該等具體實施例將就較佳具體實施例而言進行說明。然而,本發明不限於該等較佳具體實施例。
本發明具體實施例提供一種使用第一氣體(如來源氣體)和第二氣體(如惰性氣體)在製程期間穩定反應室壓力的方法,其中進氣管線連接到該反應室,且第二氣體管線和第一氣體管線連接到該進氣管線另一端,前述方法包含:(i)透過該第一氣體管線和該進氣管線根據波形以脈衝進給第一氣體到該反應室;以及(ii)透過該第二氣體管線和該進氣管線根據 反向波形以脈衝進給第二氣體到該反應室,其中該波形與反向波形之疊加波形實質上平坦,從而即使該第一氣體具有高蒸氣壓,仍可在該製程期間穩定該反應室壓力。在下文中,就作為該第一氣體的來源氣體和作為該第二氣體的惰性氣體說明所揭示具體實施例。不過,具體實施例不欲被限制在該來源氣體和該惰性氣體。
在所揭示內容中,關於「波形(waveform)」,「實質上平坦(substantially flat)」或此類可指稱不重要的擾動、小於可偵測到的擾動、未顯著影響該目標或預期性質的擾動、或由熟習此項技術者認可為接近平坦的擾動,因此該差值為小於20%、小於10%、小於5%、小於1%、或相對於在一些具體實施例中的比較性或參考擾動的其任何範圍。用語「實質上恆定(substantially constant)」、「實質上類似(substantially similar)」或此類亦可以相同方式解譯。在一些具體實施例中,被視為實質上平坦的擾動指稱在製程期間介於最大壓力與最小壓力之間的差值,其為小於200Pa(帕)、100Pa、50Pa、10Pa、3Pa或1Pa。「波形(waveform)」為沿時間軸指示流量率之振幅的波動之形狀表示。「反向(reverse)」波形指稱具有沿時間軸與參考波形通常或實質上相反的波動形狀的波形。
在一些具體實施例中,該來源氣體管線具有主線和從該進氣管線另一端上游的該主線所分支的支線,該惰性氣體管線具有主線和從該進氣管線另一端上游的該主線所分支的支線,且該來源氣體管線之該支線和該惰性氣體管線之該支線連接到藉由旁通該反應室排放氣體的排放管線,其中該波形係藉由轉換該來源氣體之該主線和該支線所形成,然而該反向波形係藉由轉換該惰性氣體之該主線和該支線所形成。藉由使用該排 放孔,該來源氣體和該惰性氣體皆可連續且恆定供給到該等各自主線,且藉由將該來源氣體之該主線和該支線之轉換與該惰性氣體之該主線和該支線之轉換同步化,該波形與反向波形之該疊加波形可實質上平坦。
在一些具體實施例中,在該來源氣體管線中的質量流量係由該分支點上游的質量流量控制器調節,在該惰性氣體管線中的質量流量係由該分支點上游的質量流量控制器調節,且在該排放管線中的流量係由節流閥控制。藉由使用設於該排放管線中的該節流閥,該來源氣體之該主線和該支線之該轉換及該惰性氣體之該主線和該支線之該轉換能順利進行,而流量率無顯著擾動。
在一些具體實施例中,該惰性氣體管線具有主線和從該進氣管線另一端上游的該主線所分支的支線,前述該惰性氣體管線之支線為藉由旁通該反應室排放氣體的排放管線,其中該波形係藉由打開及關閉該來源氣體管線所形成,然而該反向波形係藉由轉換該惰性氣體之該主線和該支線所形成。在上述具體實施例中,該主線和該支線之該轉換僅針對該惰性氣體進行。
在一些具體實施例中,在該來源氣體管線中的流量壓力係由自動壓力調節器調節,再者,在該來源氣體管線中的流量係由該自動壓力調節器下游的節流閥控制,然而在該惰性氣體中的質量流量係由該分支點上游的質量流量控制器調節,且在該支線中的流量係由節流閥控制。該自動壓力調節器與該節流閥之組合可快速且敏感開始及停止該來源氣體流量,而無需支線。該質量流量控制器控制在該次側上的質量流量,且通常用於在所設定恆定速率控制在該次側上的質量流量。因此,該質量流量控 制器具有低回應率,且回應發生於該下游中的任何流量變化皆很慢。相對而言,該自動壓力調節器在該所設定值控制在該次側上的壓力,且較該質量流量控制器對在該下游中的任何壓力變化更敏感。
在一些具體實施例中,在該來源氣體管線中的流量壓力係由自動壓力調節器調節,再者,在該來源氣體管線中的流量係由該自動壓力調節器下游的節流閥控制,然而在該惰性氣體管線中的流量壓力係由自動壓力調節器調節,其中該波形和該反向波形係藉由打開及關閉介於該自動壓力調節器與該節流閥之間的該來源氣體管線所形成。在上述具體實施例中,未使用支線,而兩台自動壓力調節器用於控制該來源氣體和該惰性氣體,且該自動壓力調節器與該節流閥之組合用於該來源氣體管線。在一些具體實施例中,在該進氣管線中的流量係由節流閥進一步控制。在一些具體實施例中,在該進氣管線中的該節流閥之節流計量大於在該來源氣體管線中的該節流閥之節流計量。
在一些具體實施例中,在該反應室中所進行之該目標製程為循環成膜製程,特別是半導體加工。當進行循環成膜製程時,氣體係反覆轉換,故該反應室中的壓力會顯著擾動。不過,根據所揭示的具體實施例,該壓力擾動可在該製程期間顯著被抑制。在一些具體實施例中,該循環製程包括循環化學氣相沉積(CVD)、電漿輔助原子層沉積(ALD)、熱ALD、自由基輔助ALD、或任何其他循環薄膜沉積方法。或者,在其他具體實施例中,該製程包括電漿輔助CVD、熱CVD、或任何其他薄膜沉積方法。
在一些具體實施例中,構成該來源氣體的該等化學物質在室溫下為液體或氣體,且具有高蒸氣壓。用語「高蒸氣壓(high vapor pressure)」 指稱在0℃約超過1kPa之蒸氣壓,通常在0℃超過5kPa。在具體實施例中,即使該來源氣體之體積增加,壓力擾動仍可在該循環製程期間有效被抑制。舉例來說,構成該來源氣體的該等化學物質包括矽烷(silane)、三甲矽烷基(trisilylamine)和己烷(hexane),其可單獨或以任何組合使用。或者,在其他具體實施例中,構成該來源氣體的該等化學物質具有低蒸氣壓,且在室溫下為固體或液體。至於該惰性氣體,(bis(diehylamino)silane)和四乙氧基矽烷(tetraethoxysilane)可單獨或以任何組合使用。
以下參照所附圖式進一步說明所揭示的具體實施例。不過,所附圖式不欲限制本發明。熟習此項技術者應可瞭解該裝置包括一個或多個控制器(未顯示),其經編程或者配置以使沉積和反應器清洗製程等能進行。如熟習此項技術者應可瞭解,該(等)控制器與該反應器之該等各種電源、加熱系統、泵浦、機器人系統和氣體流量控制器或閥通信。
圖5例示用於根據本發明具體實施例供給來源氣體到反應室的氣體供給系統之示意圖,其中在(a)中來源氣體供給到該反應室而保護氣體(cover gas)供給到排氣管線,且在(b)中該來源氣體供給到該排氣管線而該保護氣體供給到該反應室。在此系統中,進氣管線55連接到反應室51,且惰性氣體管線55a和來源氣體管線55b連接到進氣管線55另一端。來源氣體管線55b具有主線55b和從進氣管線55另一端上游的主線55b所分支的支線63b,惰性氣體管線55a具有主線55a和從進氣管線55另一端上游的主線55a所分支的支線63a,且該來源氣體管線之支線63b和該惰性氣體管線之支線63a連接到藉由旁通反應室51排放氣體的排放管線63。在來源氣體管線55b中的質量流量係由該分支點(於該點支線63b遇到主線55b)上 游的質量流量控制器(MFC)62調節,在惰性氣體管線55a中的質量流量係由該分支點(於該點支線63a遇到主線55a)上游的質量流量控制器(MFC)61調節,且在排放管線63中的流量係由節流閥64(其可為孔口、針閥、或其類似物)控制。壓力控制閥54設於反應室51下游的排氣管線59中,使得在反應室51中的壓力係藉由壓力控制閥54之操作控制。該惰性氣體管線之主線55a和支線63a分別設有閥v6和閥v5。該來源氣體管線之主線55b和支線63b分別設有閥v7和閥v8。
在此配置中,首先,閥v5和閥v7關閉,然而閥v6和閥v8打開,其中如在圖5(b)中所例示,惰性氣體經由閥v6透過MFC 61、惰性氣體管線(該主線)55a和進氣管線55進給到反應室51,而來源氣體經由閥v8透過MFC 62、來源氣體管線55b、支線63b和排放管線63及節流閥64轉向到排氣管線59。接下來,在維持該惰性氣體和該來源氣體之恆定流量率的同時,以立刻且同步化方式閥6和閥8關閉然而閥v5和閥v7打開,其中如在圖5(a)中所例示該惰性氣體經由閥v5透過MFC 61、惰性氣體管線55a、支線63a和排放管線63及節流閥64轉向到排氣管線59,而該來源氣體經由閥v7透過MFC 62、來源氣體管線55b和進氣管線55進給到反應室51。在(b)和(a)中所例示之該等狀態交替反覆,使得該來源氣體以脈衝進給到該反應室,而該惰性氣體以與該來源氣體脈衝反向的反向脈衝進給到該反應室。由於在該反應室中該來源氣體和該惰性氣體之總流量為恆定,因此該反應室中的壓力亦恆定,使得壓力控制閥54不會引起壓力擾動。在所揭示內容中,該惰性氣體亦可指稱為「保護氣體(cover gas)」,其流量抵消該來源氣體流量之擾動。該來源氣體可為在室溫下為固體或液體的氣化 化學物質與載體氣體之混合物,或者含或不含稀釋或載體氣體、在室溫下為氣體的氣態化學物質。
節流閥64設於排放管線63中,以更準確控制由MFC 61調節的該質量流量。若在該排氣管線與該排放管線之間有壓力差值,則當轉換例示於圖5(b)和(a)中的該等狀態時,在該MFC之該次側上的壓力會改變,故難以維持從該MFC所輸出之該恆定質量流量。亦即,在例示於(b)中的該狀態下,在通過該反應室的該路徑中發生較在例示於(a)中的該狀態下,在通過該排放管線的該路徑中更多的壓力損失,故該MFC之開口在例示於(b)中的該狀態下較在例示於(a)中的該狀態下傾向更寬。為了減輕上述問題,該節流閥係設於具有較緊鄰該反應室下游的該排氣管線更少壓力損失的該排放管線中,使得在該排放管線中和在緊鄰該反應室下游的該排氣管線中的壓力損失相符,從而當轉換例示於圖5(b)和(a)中的該等狀態時,在恆定值調節來自該MFC的該質量流量。
圖6例示顯示隨時間在該反應室中的來源氣體流量與保護氣體流量變化和反應室壓力變化的圖表。該來源氣體根據如在圖6中所例示之波形以脈衝進給到該反應室,然而該保護氣體根據如在圖6中所例示之反向波形以反向脈衝進給到該反應室,其中該波形與反向波形之疊加波形實質上平坦,從而以如在圖6中所例示之實質上恆定值穩定該反應室壓力。該波形係藉由轉換該來源氣體之主線55b和支線63b所形成,然而該反向波形係藉由轉換該惰性氣體之主線55a和支線63a所形成。
圖7例示用於根據本發明另一具體實施例供給來源氣體到反應室的氣體供給系統之示意圖,其中在(a)中來源氣體供給到該反應室而 保護氣體供給到排氣管線,且在(b)中無來源氣體供給而該保護氣體供給到該反應室。在此系統中,該惰性氣體管線具有主線55a和從進氣管線55另一端上游的主線55a所分支的支線63,前述該惰性氣體管線之支線63為藉由旁通反應室51排放氣體的排放管線,然而來源氣體管線55b未連接到排放管線63。在來源氣體管線55b中的流量壓力係由自動壓力調節器(APR)62a調節,再者,在來源氣體管線55b中的流量係由自動壓力調節器62a下游的閥v9和節流閥65控制,然而在惰性氣體管線55a中的質量流量係由該分支點(於該點支線63遇到主線55a)上游的質量流量控制器(MFC)61調節,且在支線63中的流量係由節流閥64控制。
在此配置中,首先,閥v5和閥v9關閉,然而閥v6打開,其中如在圖7(b)中所例示,惰性氣體經由閥v6透過MFC 61、惰性氣體管線(該主線)55a和進氣管線55進給到反應室51,而來源氣體未供給。接下來,在維持該惰性氣體之恆定流量率的同時,以立刻且同步化方式閥6關閉然而閥v5和閥v9打開,其中如在圖7(a)中所例示該惰性氣體經由閥v5透過MFC 61、惰性氣體管線55a、支線(排放管線)63及節流閥64轉向到排氣管線59,而該來源氣體經由閥v9透過APR 62a、來源氣體管線55b和進氣管線55及節流閥65進給到反應室51。在(b)和(a)中所例示之該等狀態交替反覆,使得該來源氣體以脈衝進給到該反應室,而該惰性氣體以與該來源氣體脈衝反向的反向脈衝進給到該反應室。由於在該反應室中該來源氣體和該惰性氣體之總流量為恆定,因此該反應室中的壓力亦恆定,使得壓力控制閥54不會引起壓力擾動。
節流閥65設於閥v9和APR 62a下游的來源氣體管線55b 中。若該APR之次側具有的壓力損失不足,則該APR可能無法增加該次側上的壓力,且進入該APR的該來源氣體之流入量增加。為了減輕上述問題,將節流閥65設於來源氣體管線55b中,以增加該APR之可控制性。
圖8例示顯示隨時間在該反應室中的來源氣體流量與保護氣體流量變化和反應室壓力變化的圖表。該來源氣體根據如在圖8中所例示之波形以脈衝進給到該反應室,然而該保護氣體根據如在圖8中所例示之反向波形以反向脈衝進給到該反應室,其中該波形與反向波形之疊加波形實質上平坦,從而以如在圖8中所例示之實質上恆定值穩定該反應室壓力。該波形係藉由打開及關閉來源氣體管線55b所形成,然而該反向波形係藉由轉換該惰性氣體之主線55a和支線63所形成。在圖8中,在該來源氣體流量之波形中的該等脈衝並未完全鏡像,但實質上類似於在該保護氣體流量之反向波形中的該等反向脈衝。若該等波形實質上彼此類似,則可穩定該反應室中的總氣體流量,並能有效避免其中突然的壓力變化,從而抑制微粒產生。當使用如在圖7中朝向反應室依序安置於氣體管線中的ARP、轉換閥和節流閥時,依該節流閥之大小、該APR之主側上的壓力、該APR之回應性等而定,氣體流量之脈衝可開始並在約0.1秒內穩定。
圖9例示用於根據本發明又另一具體實施例供給來源氣體到反應室的氣體供給系統之示意圖,其中在(a)中來源氣體供給到該反應室且保護氣體亦供給到該反應室,且在(b)中無來源氣體供給而該保護氣體以增加的流量率供給到該反應室。在此系統中,未提供排放管線。該惰性氣體管線具有連接到進氣管線55另一端的主線55a。在來源氣體管線55b中的流量壓力係由自動壓力調節器(APR)62a調節,再者,在來源氣體管線55b 中的流量係由自動壓力調節器62a下游的閥v9和節流閥65控制,然而在該惰性氣體管線55a中的質量流量係由自動壓力調節器(APR)61a調節,且在惰性氣體管線55a或進氣管線55中未設置閥。
在此配置中,首先,閥v9關閉,其中如在圖9(b)中所例示,惰性氣體透過APR 61a、惰性氣體管線55a和進氣管線55進給到反應室51,而無來源氣體供給。接下來,以立刻且同步化方式閥9打開,且APR 61a降低該流出以回應在進氣管線55中的壓力增加,其中如在圖9(a)中所例示,該來源氣體經由閥v9透過APR 62a、來源氣體管線55b和進氣管線55進給到該反應室,且該惰性氣體亦以降低的流量率供給到反應室51。在(b)和(a)中所例示之該等狀態交替反覆,使得該來源氣體以脈衝進給到該反應室,而該惰性氣體以與該來源氣體脈衝反向的反向脈衝進給到該反應室。由於在該反應室中該來源氣體和該惰性氣體之總流量為恆定,因此該反應室中的壓力亦恆定,使得壓力控制閥54不會引起壓力擾動。
圖10例示顯示隨時間在該反應室中的來源氣體流量與保護氣體流量變化和反應室壓力變化的圖表。該來源氣體根據如在圖10中所例示之波形以脈衝進給到該反應室,然而該保護氣體根據如在圖10中所例示之反向波形以反向脈衝進給到該反應室,其中該波形與反向波形之疊加波形實質上平坦,從而以如在圖10中所例示之實質上恆定值穩定該反應室壓力。該波形和該反向波形係藉由打開及關閉介於自動壓力調節器62a與節流閥65之間、在來源氣體管線55b中的閥v9所形成。在圖10中,如同圖6和圖8,在該來源氣體流量之波形中的該等脈衝並未完全鏡像,但實質上類似於在該保護氣體流量之反向波形中的該等反向脈衝。當使用如在圖9 中朝向反應室依序安置於第一氣體管線中的第一ARP、轉換閥和節流閥時,第一氣體流量之脈衝可開始並在約0.1秒內穩定,而且,當使用如在圖9中安置於與該第一ARP並排的第二氣體管線中的第二ARP時,該第二ARP可以同步化方式調整該第二氣體之流出,以維持該等第一與第二氣體之總下游壓力以回應該第一氣體流量之該等脈衝。
圖11例示用於根據本發明再又另一具體實施例供給來源氣體到反應室的氣體供給系統之示意圖。此配置與在圖9中所例示者相同,不同之處在於節流閥66設於進氣管線55中。節流閥66設於惰性氣體管線55a遇到來源氣體管線55b之合併點下游的進氣管線55中。若APR 61a之次側下游的壓力損失不足,則該APR可能無法增加該次側上的壓力,且通過該APR的該來源氣體量增加。為了減輕上述問題,將節流閥66設於進氣管線55中,以增加APR 61a之可控制性。不過,若節流閥66之節流計量過小,則該惰性氣體之流量會變得過低,從而延長從該反應室清洗該來源氣體的清洗時間。因此,在一些具體實施例中,在進氣管線55中的節流閥66之節流計量大於在來源氣體管線55b中的節流閥65之節流計量。
範例1
虛擬配方係針對例示於圖5中的PEALD裝置所建立,並在下列條件下進行:該反應室壓力控制在500Pa;來源氣體為矽甲烷(SiH4);供給該來源氣體到該反應室的脈衝之持續時間為0.2秒;保護氣體為氬(Ar);供給該保護氣體到該反應室的脈衝之持續時間為2.0秒;該來源氣體和該保護氣體之流量率(Flow rate,FR)相同,並如以下表1中所顯示設定;以及設於該排放管線(在圖5中的節流閥64)中針閥之手柄位置如以下表1 中所顯示設定。如在圖13中所例示調整該來源氣體和該保護氣體之該等脈衝的同時,藉由交替反覆例示於圖5(b)中的狀態和例示於圖5(a)中的狀態,針對各組條件皆進行20個循環。在該反應室中的壓力變動係定義為介於最大壓力與最小壓力之間的差值,並如在圖13中所例示在製程期間量測為差量(Delta)P(△P[Pa])。結果顯示於表1和圖12中。在該表格中,「CV」已知為指示流過該閥之大致流量的流量係數,並可基於所施加壓力(CV=F(SG/dP)1/2,其中F=流量率[每分鐘美國加侖]、SG=流體比重[水為1]、dP=閥兩端的壓降[psi])計算。
如在表1和圖12中所顯示,當流過該排放管線的流量為零時,在該反應室中的壓力擾動顯著(反應室壓力設定為500Pa時,1slm的△P=114.3Pa,2slm的△P=158.9Pa)。當流過該排放管線的流量增加時,在該反應室中的壓力擾動被有效抑制,尤其當CV值為0.025(含)以上時(1slm 的△P=8.3Pa(含)以下,2slm的△P=15.8Pa(含)以下),從而指示在製程期間實質上未發生壓力擾動。不過,若無針閥設於該排放管線中,則由於在該排放管線側(低壓力損失)與該反應室側(高壓力損失)之間的壓力差值,因此進入該反應室的流量會擾動。
熟習此項技術者應可理解可做到眾多和各種修飾例而不悖離本發明之精神。因此,應可清楚理解本發明之該等形式僅為例示性且不欲限制本發明之範疇。

Claims (12)

  1. 一種使用第一氣體和第二氣體在製程期間穩定反應室壓力的方法,其中一進氣管線連接到該反應室,且一第二氣體管線和一第一氣體管線連接到該進氣管線另一端,前述方法包含:透過該第一氣體管線和該進氣管線根據一波形以脈衝進給一第一氣體到該反應室;以及透過該第二氣體管線和該進氣管線根據一反向波形以脈衝進給一第二氣體到該反應室,其中該波形與反向波形之疊加波形實質上或接近平坦,從而穩定該反應室壓力。
  2. 如申請專利範圍第1項之方法,其中該第一氣體管線具有一主線和從該進氣管線另一端上游的該主線所分支的一支線,該第二氣體管線具有一主線和從該進氣管線另一端上游的該主線所分支的一支線,且該第一氣體管線之該支線和該第二氣體管線之該支線連接到藉由旁通該反應室排放氣體的一排放管線,其中該波形係藉由轉換該第一氣體之該主線和該支線所形成,然而該反向波形係藉由轉換該第二氣體之該主線和該支線所形成。
  3. 如申請專利範圍第2項之方法,其中在該第一氣體管線中的質量流量係由該分支點上游的一質量流量控制器調節,在該第二氣體管線中的質量流量係由該分支點上游的一質量流量控制器調節,且在該排放管 線中的流量係由一節流閥控制。
  4. 如申請專利範圍第1項之方法,其中該第二氣體管線具有一主線和從該進氣管線另一端上游的該主線所分支的一支線,前述該第二氣體管線之支線為藉由旁通該反應室排放氣體的一排放管線,然而該第一氣體管線未連接到該排放管線,其中該波形係藉由打開及關閉該第一氣體管線所形成,然而該反向波形係藉由轉換該第二氣體之該主線和該支線所形成。
  5. 如申請專利範圍第4項之方法,其中在該第一氣體管線中的流量壓力係由一自動壓力調節器調節,再者,在該第一氣體管線中的流量係由該自動壓力調節器下游的一節流閥控制,然而在該第二氣體管線中的質量流量係由該分支點上游的一質量流量控制器調節,且在該支線中的流動係由一節流閥控制。
  6. 如申請專利範圍第1項之方法,其中在該第一氣體管線中的流量壓力係由一自動壓力調節器調節,再者,在該第一氣體管線中的流量係由該自動壓力調節器下游的一節流閥控制,然而在該第二氣體管線中的流量壓力係由一自動壓力調節器調節,其中該波形和該反向波形係藉由打開及關閉介於該自動壓力調節器與該節流閥之間的該第一氣體管線所形成。
  7. 如申請專利範圍第6項之方法,其中在該進氣管線中的流量係進一步由一節流閥控制。
  8. 如申請專利範圍第7項之方法,其中在該進氣管線中的該節流閥之一節流計量大於在該第一氣體管線中的該節流閥之一節流計量。
  9. 如申請專利範圍第1項之方法,其中該製程為一循環成膜製程。
  10. 如申請專利範圍第9項之方法,其中該製程為一原子層沉積(Atomic layer deposition,ALD)。
  11. 如申請專利範圍第1項之方法,其中該第一氣體為一來源氣體,且該第二氣體為一惰性氣體。
  12. 如申請專利範圍第11項之方法,其中構成該來源氣體的該等化學物質在室溫下為液體或氣體,且該惰性氣體為一稀有氣體。
TW104110326A 2014-04-07 2015-03-30 穩定反應室壓力的方法 TWI663283B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/246,969 2014-04-07
US14/246,969 US9663857B2 (en) 2014-04-07 2014-04-07 Method for stabilizing reaction chamber pressure

Publications (2)

Publication Number Publication Date
TW201608049A true TW201608049A (zh) 2016-03-01
TWI663283B TWI663283B (zh) 2019-06-21

Family

ID=54209245

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104110326A TWI663283B (zh) 2014-04-07 2015-03-30 穩定反應室壓力的方法

Country Status (3)

Country Link
US (1) US9663857B2 (zh)
KR (1) KR102403530B1 (zh)
TW (1) TWI663283B (zh)

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5689294B2 (ja) * 2010-11-25 2015-03-25 東京エレクトロン株式会社 処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
EP3619335A4 (en) 2017-05-02 2021-03-10 Picosun Oy ALD APPARATUS, PROCESS AND VALVE
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7254620B2 (ja) * 2018-06-26 2023-04-10 株式会社Kokusai Electric 半導体装置の製造方法、部品の管理方法、基板処理装置及び基板処理プログラム
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200318237A1 (en) * 2019-04-05 2020-10-08 Asm Ip Holding B.V. Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1466034A1 (en) 2002-01-17 2004-10-13 Sundew Technologies, LLC Ald apparatus and method
JP3670628B2 (ja) * 2002-06-20 2005-07-13 株式会社東芝 成膜方法、成膜装置、および半導体装置の製造方法
US20050120805A1 (en) * 2003-12-04 2005-06-09 John Lane Method and apparatus for substrate temperature control
US7846499B2 (en) * 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8151814B2 (en) * 2009-01-13 2012-04-10 Asm Japan K.K. Method for controlling flow and concentration of liquid precursor
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors

Also Published As

Publication number Publication date
US20150284848A1 (en) 2015-10-08
KR20150126769A (ko) 2015-11-13
US9663857B2 (en) 2017-05-30
TWI663283B (zh) 2019-06-21
KR102403530B1 (ko) 2022-05-27

Similar Documents

Publication Publication Date Title
TW201608049A (zh) 穩定反應室壓力的方法
US10340125B2 (en) Pulsed remote plasma method and system
US10287682B2 (en) Substrate processing apparatus, gas supply method, substrate processing method, and film forming method
JP5755958B2 (ja) 半導体製造装置の原料ガス供給装置
US20050223982A1 (en) Apparatus and method for depositing thin film on wafer using remote plasma
US9390912B2 (en) Film forming method
US20050221004A1 (en) Vapor reactant source system with choked-flow elements
JP6574593B2 (ja) Cvdリアクタにおける排ガス洗浄装置および方法
JPS62273714A (ja) 有機金属ガス供給方法および装置
KR101832555B1 (ko) 성막 장치, 성막 방법 및 기억 매체
US20110111136A1 (en) Precursor vapor generation and delivery system with filters and filter monitoring system
KR100802382B1 (ko) 가스분리형 샤워헤드를 이용한 원자층 증착 장치
TWI681072B (zh) 進氣系統、原子層沉積設備和方法
JP6374973B2 (ja) Aldコーティングによる中空ボディ内面の保護
KR102642370B1 (ko) 전구체 전달 시스템
US11413556B2 (en) Reducing or eliminating liquid de-gassing
JP2008248395A (ja) プラズマ処理装置およびプラズマ処理装置の調圧方法
US11566327B2 (en) Methods and apparatus to reduce pressure fluctuations in an ampoule of a chemical delivery system
TW202136568A (zh) Cvd反應器在沉積二維層方面的應用
KR20120091564A (ko) 가스공급장치 및 이를 제어하는 방법
KR102180282B1 (ko) 박막 증착용 가스공급장치 및 그 제어방법
CN105483653B (zh) Pecvd机台和系统
US20230093365A1 (en) Semiconductor manufacturing apparatus
KR20240054508A (ko) 기판 처리 장치