KR20150126769A - 반응 챔버 압력을 안정화하는 방법 - Google Patents

반응 챔버 압력을 안정화하는 방법 Download PDF

Info

Publication number
KR20150126769A
KR20150126769A KR1020150046393A KR20150046393A KR20150126769A KR 20150126769 A KR20150126769 A KR 20150126769A KR 1020150046393 A KR1020150046393 A KR 1020150046393A KR 20150046393 A KR20150046393 A KR 20150046393A KR 20150126769 A KR20150126769 A KR 20150126769A
Authority
KR
South Korea
Prior art keywords
gas
line
reaction chamber
throttle
source gas
Prior art date
Application number
KR1020150046393A
Other languages
English (en)
Other versions
KR102403530B1 (ko
Inventor
류 나카노
와타루 아다치
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20150126769A publication Critical patent/KR20150126769A/ko
Application granted granted Critical
Publication of KR102403530B1 publication Critical patent/KR102403530B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

방법은 제 1 가스 및 제 2 가스를 사용한 프로세스 동안 반응 챔버의 압력을 안정화하며, 가스 유입구 라인은 반응 챔버에 접속되고, 제 2 가스 라인 및 제 1 가스 라인은 가스 유입구 라인의 타단에 접속된다. 방법은, 제 1 가스 라인 및 제 2 가스 라인을 통해 반응 챔버에 파형에 따른 펄스들로 제 1 가스를 주입하는 단계; 및 제 2 가스 라인 및 가스 유입구 라인을 통해 반응 챔버에 역 파형에 따른 펄스들로 제 2 가스를 주입하는 단계를 포함하며, 파형과 역 파형의 중첩된 파형들이 실질적으로 또는 거의 평탄하게 이루어지고, 이에 의해 반응 챔버의 압력을 안정화한다.

Description

반응 챔버 압력을 안정화하는 방법{METHOD FOR STABILIZING REACTION CHAMBER PRESSURE}
본 발명은 일반적으로 반응 챔버를 위한 가스 공급 시스템에 관한 것으로, 특히 반응 챔버로 가스가 공급될 때 반응 챔버 압력을 안정화하는 방법에 관한 것이다.
원자층 증착 (ALD) 프로세스에 있어서, 막을 형성하기 위한 소스 가스를 구성하는 재료의 유량 (flow rate) 및 공급 시간을 제어하는 것이 양호한 프로세스 결과 및 양호한 디바이스 안정성을 달성하는데 매우 중요하다. 주위 온도에서 비교적 낮은 증기압을 갖는 액체 재료 또는 고체 재료가 소스 재료로서 사용될 때, 원하는 증기압은 저장소 (또는 보틀) 를 가열함으로써 획득될 수 있고, 반응 챔버에 재료를 공급할 때의 압력 변동은 중요하지 않은 레벨로 억제될 수 있다. 도 1 은 배경 기술에 따른 저 증기압을 갖는 소스 가스를 반응 챔버 (RC)(1) 에 공급하는 가스 공급 시스템의 개략도들을 예시하며, (a) 에서는 기화된 소스 가스를 포함하지 않는 가스가 매스 플로우 제어기 (MFC)(3) 및 가스 라인 (5) 에 제공된 밸브 (v1) 를 통해 반응 챔버 (1) 에 공급되고, (b) 에서는 보틀 (BTL)(2) 에 기화된 소스 가스를 포함하는 가스가 매스 플로우 제어기 (3), 유입구 라인 (6) 에 제공된 밸브 (v2), 보틀 (2), 및 유출구 라인 (7) 에 제공된 밸브 (v3) 를 통해 반응 챔버에 공급된다. (a) 에서는, 밸브 (v1) 이 개방되고, 밸브 (v2) 및 밸브 (v3) 가 폐쇄되는 반면, (b) 에서는, 밸브 (v1) 은 폐쇄되지만, 밸브 (v2) 및 밸브 (v3) 는 개방된다. 반응 챔버 (1) 에서의 압력은 배기 라인 (9) 에 제공된 압력 제어 밸브 (4) 에 의해 프로세스 동안 제어된다.
하지만, 주위 온도에서 고 증기압을 갖는 가스 재료 또는 액체 재료가 사용될 때, 재료의 체적이 크기 때문에 재료가 반응 챔버로 과다 공급된다. 재료의 과다 공급은 역효과, 예를 들어 기판 표면 상의 재료 흡착의 낮아진 평면내 균일도, 반응 챔버로부터 재료를 제거하기 위한 장기 퍼지 시간, 반응 챔버에서의 압력 변동, 및 사용된 재료의 증가된 양을 유발한다. 따라서, 공급된 재료의 양을 제어하기 위해서, 증기압은 재료 저장소를 냉각시킴으로써 낮아질 수 있다. 하지만, 그 목적을 위해, 냉각 장비가 설치되고, 복잡한 하드웨어 및 제어가 요구된다. 도 2 는 배경 기술에 따른 고 증기압을 갖는 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도를 예시한다. 도 2 에서 이러한 가스 공급 시스템은 도 1 에 예시된 것과 실질적으로 유사하며, 고 증기압이 과도한 증기를 생성하지 않도록 냉각 재킷 (8) 이 보틀 (2) 주위에 설치되는 것을 제외하고, 도 1 에서와 동일한 참조 번호들은 동일한 부분들을 표시한다.
고 증기압을 갖는 재료를 핸들링하는 다른 접근법들이 있다. 도 3 은 변경된 배경 기술에 따른 고 증기압을 갖는 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도들을 예시하며, (a) 에서는 소스 가스가 가스 라인 (5) 에 제공된 밸브 (v4) 를 통해 매스 플로우 제어기 (MFC)(11) 를 거쳐 반응 챔버 (1) 에 공급되고, (b) 에서는 소스 가스가 밸브 (v4) 및 스로틀 (13) 을 통해 자동 압력 조절기 (APR)(12) 및 MFC (11) 를 거쳐 반응 챔버 (1) 에 공급된다. 상기에 있어서, MFC (11) 는 보틀 (2) 의 하류에 설치되거나, 보틀 없이 소스 가스 공급 라인에 제공되며, 여기서 재료는 실온에서 가스성이다. MFC (11) 의 응답 속도가 낮기 때문에, (a) 에서의 MFC (11) 를 사용하는 구성은 진보된 ALD 사이클을 제어하기에, 즉 매우 짧은 시간 주기 (예를 들어, 0.1 내지 1.0 초) 에서 가스들을 스위칭하기에 불충분하다. MFC (11) 및 APR (12) 을 사용하는 구성은, APR 과의 조합에서 밸브를 개방하고 폐쇄하는 것에 의해 플로우가 제어되는 밸브-스위치-펄싱 유형이다. APR 은 MFC 보다 높은 응답 속도를 갖기 때문에, 이 구성이 ALD 사이클에 사용될 수 있다. 하지만, 반응 챔버에서의 압력이 밸브 및 APR 의 동작에 의해 반응 챔버에 소스 가스가 공급될 때 증가되기 때문에, 가스 공급이 시작하고 종료할 때 큰 압력 변동이 발생한다. 압력 제어 밸브 (4) 는 그 후 압력 제어 밸브 (4) 를 개방하는 것에 의해 반응 챔버에서의 압력의 증가를 보상한다. 압력 제어 밸브 (4) 의 동작은 고도의 응답성이 아니고 지연을 갖기 때문에, 반응 챔버에서의 압력이 과도하게 보상된다. 그 결과, 파티클 생성의 리스크가 배기 라인 (9) 등으로의 역 송풍으로 인하여 높아지게 된다.
도 4 는 도 3 의 (b) 에 예시된 가스 공급 시스템이 사용될 때, 시간에 따른 반응 챔버 압력의 변화들 및 반응 챔버에서의 소스 가스 플로우의 변화들을 나타내는 챠트들을 예시한다. 도 4 에 나타낸 바와 같이, 소스 가스 플로우는 급격히 또는 순간적으로 증가되고 감소된다. 도 4 에 나타낸 바와 같이, 소스 가스 플로우가 변화함에 따라 반응 챔버에서의 압력이 변화한다. 반응 챔버에서의 압력이 증가할 때, 반응 챔버의 하류에 설치된 압력 제어 밸브는 압력 증가에 응답하며, 반응 챔버에서 일정한 압력을 유지하도록 밸브를 개방함으로써 압력을 감소시키기 시작한다. 하지만, 압력이 압력 제어 밸브의 동작으로 인해 낮아지기 시작할 때, 소스 가스 플로우가 정지되며, 그 결과, 반응 챔버에서의 압력이 과도하게 보상되고 응답 지연으로 인해 더욱 낮아지게 된다. 이러한 현상이 도 4 에 예시되며, 화살표로 표시된다. 이러한 유형의 압력 헌팅 (hunting) 은 반응 챔버에서의 압력을 유지하면서 공급 가스 플로우를 변화시키는 시스템에 대해 불가피하다.
본 개시물에서는 오로지 본 발명에 대한 컨텍스트를 제공하는 목적으로만 관련 기술에서 수반되는 문제들 및 해결책들의 임의의 논의가 포함되며, 그 논의의 어느 부분 또는 전부가 발명이 이루어졌을 때에 알려졌다고 인정하는 것으로 여겨지지 않아야 한다. 예를 들어, 도 1 내지 도 4 (도 1 및 도 2 는 범례 "배경 기술" 로 지정된다) 는 본 발명자들에 대한 발명의 배경을 예시하며, 본 발명이 이루어졌을 때에 알려진 종래 기술을 나타내는 것으로 고려되지 않아야 한다.
상기 문제들 중 적어도 하나를 해결하기 위해, 일부 실시형태들은 고 증기압을 갖는 케미컬들을 함유하는 소스 가스 및 비활성 가스를 공급하기 위한 메커니즘을 포함하는 가스 공급 시스템을 제공하며, 비활성 가스의 플로우는 반응 챔버에서의 소스 가스 및 비활성 가스의 전체 플로우가 프로세스 동안 항상 일정할 수 있도록 소스 가스 플로우의 변동을 보상하고, 소스 가스 또는 비활성 가스 중 하나는 반응 챔버에 공급되고, 소스 가스 또는 비활성 가스의 다른 하나는 반응 챔버의 하류에서 배기 라인에 공급됨으로써, 반응 챔버에서의 압력 변동을 억제한다. 하지만, 상기 실시형태들에서, 소스 가스 또는 비활성 가스 중 하나는 항상 배기 라인으로 공급되거나 폐기되기 때문에, 이러한 매커니즘은 비용 효율적이지 않다. 상기에서, 소스 가스 및 비활성 가스는 가스의 임의의 유형일 수도 있으며, 이에 따라 각각 "제 1 가스" 및 "제 2 가스" 로도 또한 지칭될 수도 있다.
상기 단점들을 해결하기 위한 방법으로서, 일부 실시형태들은 밸브의 동작에 의해 급속으로 또는 순간적으로 시작 및 종료하는 펄스들로 반응 챔버에 소스 가스를 공급하는 메커니즘, 및 반응 챔버에 비활성 가스를 공급하는 매커니즘을 포함하는 가스 공급 시스템을 제공하며, 비활성 가스의 플로우는 반응 챔버에서의 소스 가스 및 비활성 가스의 전체 플로우가 프로세스 동안 항상 일정할 수 있도록 소스 가스 플로우의 변동을 보상하며, 소스 가스는 반응 챔버에 공급되고, 비활성 가스는 반응 챔버의 하류에서 배기 라인에 공급되며, 소스 가스가 공급되지 않을 때, 비활성 가스가 반응 챔버에 공급됨으로써, 반응 챔버에서의 압력 변동을 억제한다. 상기 실시형태들에서, 소스 가스가 배기 라인으로 공급되거나 폐기되지 않기 때문에, 이러한 메커니즘은 첫번째 기재된 메커니즘보다 더 비용 효율적이다.
또한, 압력 변동 문제를 해결하기 위한 대안의 방법으로서, 일부 실시형태들은 밸브의 동작에 의해 급속으로 또는 순간적으로 시작 및 종료하는 펄스들로 반응 챔버에 소스 가스를 공급하는 메커니즘, 및 제 2 가스 플로우의 변동을 보상하도록 동적 압력 제어에 의해 급속으로 또는 순간적으로 시작 및 종료하는 역 펄스들로 반응 챔버에 비활성 가스를 공급하는 메커니즘을 포함하는 가스 공급 시스템을 제공하여 반응 챔버에서의 소스 가스 및 비활성 가스의 전체 플로우가 프로세스 동안 항상 일정할 수 있도록 함으로써, 첫번째 및 두번째 기재된 메커니즘들보다 반응 챔버에서의 압력 변동을 보다 효과적으로 억제한다.
개시된 실시형태들은 하나 이상의 반응 챔버들을 포함하는 장치에 적용될 수 있다. 개시된 실시형태들에 따라, 고 증기압을 갖는 케미컬들은 저렴한 하드웨어를 사용하여 반응 챔버에 안정적으로 공급될 수 있다.
본 발명의 양태들 및 관련 기술에 대해 달성된 이점들을 요약하기 위한 목적으로, 본 발명의 소정의 과제들 및 이점들이 본 개시물에 기재된다. 물론, 그러한 과제들 및 이점들 모두가 반드시 본 발명의 임의의 특정 실시형태에 따라 달성될 수 있는 것은 아니라는 것을 이해해야 한다. 따라서, 예를 들어, 당업자는, 본 명세서에서 교시되거나 제안될 수 있는 바와 같이 다른 과제들 또는 이점들을 반드시 달성하지 않으면서, 본 명세서에 교시된 바와 같이 하나의 이점 또는 이점들의 그룹을 달성하거나 최적화하는 방식으로 본 발명이 실시되거나 수행될 수도 있다는 것을 알게 될 것이다.
본 발명의 추가의 양태들, 특징들 및 이점들은 이어지는 상세한 설명으로부터 명백해지게 될 것이다.
이제, 본 발명의 이러한 특징 및 다른 특징은, 발명을 제한하는 것이 아니라 예시하는 것으로 의도되는 바람직한 실시형태들의 도면들을 참조하여 설명될 것이다. 도면들은 예시의 목적으로 매우 간략화되며 반드시 비율대로인 것은 아니다.
도 1 은 배경 기술에 따른 저 증기압을 갖는 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도들을 예시하며, (a) 에서는 기화된 소스 가스를 포함하지 않는 가스가 반응 챔버에 공급되고, (b) 에서는 기화된 소스 가스를 포함하는 가스가 반응 챔버에 공급된다.
도 2 는 배경 기술에 따른 고 증기압을 갖는 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도를 예시한다.
도 3 은 변경된 배경 기술에 따른 고 증기압을 갖는 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도들을 예시하며, (a) 에서는 소스 가스가 매스 플로우 제어기 (MFC) 를 통해 반응 챔버에 공급되고, (b) 에서는 소스 가스가 MFC 및 자동 압력 조절기 (APR) 를 통해 반응 챔버에 공급된다.
도 4 는 도 3 의 (b) 에 예시된 가스 공급 시스템이 사용될 때, 시간에 따른 반응 챔버 압력의 변화들 및 반응 챔버에서의 소스 가스 플로우의 변화들을 나타내는 챠트들을 예시한다.
도 5 는 본 발명의 일 실시형태에 따른 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도들을 예시하며, (a) 에서는 소스 가스가 반응 챔버에 공급되고 비활성 가스가 배기 라인에 공급되고, (b) 에서는 소스 가스가 배기 라인에 공급되고 비활성 가스가 반응 챔버에 공급된다.
도 6 은 도 5 에 예시된 가스 공급 시스템이 사용될 때, 반응 챔버에서의 소스 가스 플로우 및 비활성 가스 플로우의 변화들 및 시간에 따른 반응 챔버 압력의 변화들을 나타내는 챠트들을 예시한다.
도 7 은 본 발명의 다른 실시형태에 따른 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도들을 예시하며, (a) 에서는 소스 가스가 반응 챔버에 공급되고 비활성 가스가 배기 라인에 공급되고, (b) 에서는 소스 가스가 공급되지 않고 비활성 가스가 반응 챔버에 공급된다.
도 8 은 도 7 에 예시된 가스 공급 시스템이 사용될 때, 반응 챔버에서의 소스 가스 플로우 및 비활성 가스 플로우의 변화들과 시간에 따른 반응 챔버 압력의 변화들을 나타내는 챠트들을 예시한다.
도 9 는 본 발명의 또 다른 실시형태에 따른 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도들을 예시하며, (a) 에서는 소스 가스가 반응 챔버에 공급되고 비활성 가스도 또한 반응 챔버에 공급되고, (b) 에서는 소스 가스는 공급되지 않고 비활성 가스가 증가된 유량으로 반응 챔버에 공급된다.
도 10 은 도 9 예시된 가스 공급 시스템이 사용될 때, 반응 챔버에서의 소스 가스 플로우 및 비활성 가스 플로우의 변화들과 시간에 따른 반응 챔버 압력의 변화들을 나타내는 챠트들을 예시한다.
도 11 은 본 발명의 또 다른 실시형태에 따른 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도를 예시한다.
도 12 는 도 5 에 예시된 가스 공급 시스템에서의 니들 밸브의 포지션을 핸들링하는 것에 관하여 반응 챔버 압력 변동 (△P[Pa]) 를 나타내는 그래프이다.
도 13 은 도 5 에 예시된 가스 공급 시스템이 예 1 에서 사용되었을 때, 반응 챔버에서의 소스 가스 플로우 및 비활성 가스 플로우의 변화들과 시간에 따른 반응 챔버 압력의 변화들을 나타내는 챠트들을 예시한다.
본 개시물에서, "가스 (gas)" 는 기화된 고체 및/또는 액체를 포함할 수도 있고, 단일 가스 또는 가스들의 혼합물에 의해 구성될 수도 있다. 마찬가지로, 관사 "a" 또는 "an" 은 종 (species) 또는 다수의 종들을 포함하는 속 (genus) 을 지칭한다. 본 개시물에서, 샤워헤드를 통해 반응 챔버로 도입된 프로세스 가스는 소스 가스 및 첨가 가스로 이루어질 수도 있거나, 이들로 필수적으로 구성될 수도 있거나, 또는 이들로 구성될 수도 있다. 소스 가스는 기판 상의 타겟막을 구성하는 가스 공급 엘리먼트들이며 전구체로서 지칭될 수도 있다. 첨가 가스는 RF 전력이 전구체 가스에 인가될 때 전구체를 산화 및/또는 질화하기 위한 가스를 포함한다. 전구체 및 첨가 가스는 혼합된 가스로서 또는 별도로 반응 공간에 도입될 수 있다. 전구체는 희가스와 같은 반송 가스 또는 희석 가스로 도입될 수 있다. 프로세스 가스 이외의 가스, 즉 샤워헤드를 통과하지 않고 도입되는 가스는, 예를 들어 희가스와 같은 시일 가스를 포함하는, 반응 공간을 실링하기 위해 사용될 수도 있다. 또한, 본 개시물에서, 변수의 임의의 2 개의 수는 작동가능 범위가 일상적인 작업 (routine work) 에 기초하여 결정될 수 있을 때 변수의 작동가능 범위를 구성할 수 있으며, 표시된 임의의 범위들은 종점 (endpoint) 들을 포함하거나 배제할 수도 있다. 부가적으로, 표시된 변수들의 임의의 값들은 (그것들이 "약" 으로 표시되는지 아닌지 여부에 관계없이) 정밀한 값들 또는 근사 값들을 지칭할 수도 있고 등가물들을 포함할 수도 있으며, 일부 실시형태들에서는, 평균, 중간, 대표, 다수 등을 지칭할 수도 있다.
본 개시물에서, 임의의 정의된 의미들은 일부 실시형태들에서 정상적이고 관례적인 의미들을 반드시 배제하지는 않는다. 조건들 및/또는 구조들이 특정되지 않은 본 개시물에서, 당업자는 본 개시물을 고려하여, 일상적인 실험의 사항으로서 그러한 조건들 및/또는 구조들을 쉽게 제공할 수 있다. 개시된 실시형태들의 전부에서, 실시형태에 사용된 임의의 엘리먼트는 의도된 목적들을 위해 본 명세서에 명시적으로, 반드시, 또는 본질적으로 개시된 것들을 포함하는 그와 등가인 임의의 엘리먼트들로 대체될 수 있다. 또한, 본 발명은 장치들 및 방법들에 동일하게 적용될 수 있다.
실시형태들은 바람직한 실시형태들에 관하여 설명될 것이다. 그러나, 본 발명은 바람직한 실시형태들에 제한되지 않는다.
본 발명의 일 실시형태는 제 1 가스 (예를 들어, 소스 가스) 및 제 2 가스 (예를 들어, 비활성 가스) 를 사용하여 프로세스 동안 반응 챔버의 압력을 안정화하는 방법을 제공하며, 가스 유입구 라인이 반응 챔버에 접속되고, 제 2 가스 라인 및 제 1 가스 라인이 가스 유입구 라인의 타단에 접속되며, 상기 방법은, (i) 제 1 가스 라인 및 가스 유입구 라인을 통해 반응 챔버에 파형에 따른 펄스들로 제 1 가스를 주입 (feeding) 하는 단계; 및 (ii) 제 2 가스 라인 및 가스 유입구 라인을 통해 반응 챔버에 역 파형에 따른 펄스들로 제 2 가스를 주입하는 단계를 포함하고, 파형과 역 파형의 중첩된 파형들이 실질적으로 평탄하게 되며, 이에 의해 제 1 가스가 고 증기압을 갖더라도 프로세스 동안 반응 챔버의 압력을 안정화한다. 이하, 개시된 실시형태들은 제 1 가스로서의 소스 가스 및 제 2 가스로서의 비활성 가스에 관하여 설명된다. 하지만, 실시형태들이 소스 가스 및 비활성 가스에 제한되는 것으로 의도되지 않는다.
본 개시물에서, "파형" 에 관하여, "실질적으로 평탄" 등은 검출가능한 변동보다 작은 중요하지 않은 변동, 의도된 특성들 또는 타겟에 물질적으로 영향을 미치지 않는 변동, 또는 거의 평탄한 것으로 당업자에 의해 인식되는 변동을 지칭할 수도 있어서, 그 차이가 20% 미만, 10% 미만, 5% 미만, 1% 미만, 또는 일부 실시형태들에서의 비교 또는 참조되는 변동에 대한 그 임의의 범위들이다. 용어 "실질적으로 일정한", "실질적으로 유사한" 등도 또한 동일한 방식으로 해석될 수 있다. 일부 실시형태들에서, 실질적으로 평탄한 것으로 고려되는 변동은 프로세스 동안 200 Pa, 100 Pa, 50 Pa, 10 Pa, 3 Pa, 또는 1 Pa 미만인 최대 압력과 최소 압력 사이의 차이를 지칭한다. "파형" 은 시간 축에 따른 유량의 진폭을 표시하는 파형의 형상을 나타낸 것이다. "역" 파형은 시간 축에 따른 기준 파형과 일반적으로 또는 실질적으로 반대인 파 형상을 갖는 파형을 지칭한다.
일부 실시형태들에서, 소스 가스 라인은 메인 라인, 및 가스 유입구 라인의 타단의 상류에서 메인 라인으로부터 분기된 브랜치 라인을 갖고, 비활성 가스 라인은 메인 라인, 및 가스 유입구 라인의 타단의 상류에서 메인 라인으로부터 분기된 브랜치 라인을 갖고, 소스 가스 라인의 브랜치 라인 및 비활성 가스 라인의 브랜치 라인은 반응 챔버를 우회하는 것에 의해 가스를 방전하기 위해 벤트 라인에 접속되며, 파형은 소스 가스의 메인 라인과 브랜치 라인을 스위칭하는 것에 의해 형성되는 반면, 역 파형은 비활성 가스의 메인 라인과 브랜치 라인을 스위칭하는 것에 의해 형성된다. 벤트를 사용함으로써, 소스 가스 및 비활성 가스의 양자가 계속적으로 그리고 일정하게 각각의 메인 라인들에 공급되며, 소스 가스의 메인 라인 및 브랜치 라인의 스위칭과 비활성 가스의 메인 라인 및 브랜치 라인의 스위칭을 동기화시킴으로써, 파형과 역 파형의 중첩된 파형들이 실질적으로 평탄하게 이루어질 수 있다.
일부 실시형태들에서, 소스 가스 라인에서의 매스 플로우는 분기점의 상류에서 매스 플로우 제어기에 의해 조절되고, 비활성 가스 라인에서의 매스 플로우는 분기점의 상류에서 매스 플로우 제어기에 의해 조절되며, 벤트 라인에서의 플로우는 스로틀에 의해 제어된다. 벤트 라인에 제공된 스로틀을 사용함으로써, 소스 가스의 메인 라인 및 브랜치 라인의 스위칭과 비활성 가스의 메인 라인 및 브랜치 라인의 스위칭이 유량의 현저한 변동들 없이, 순조롭게 행해질 수 있다.
일부 실시형태들에서, 비활성 가스 라인은 메인 라인, 및 가스 유입구 라인의 타단의 상류에서 메인 라인으로부터 분기된 브랜치 라인을 갖고, 비활성 가스 라인의 브랜치 라인은 반응 챔버를 우회하는 것에 의해 가스를 방전하기 위한 벤트 라인이고, 파형은 소스 가스 라인을 개방 및 폐쇄하는 것에 의해 형성되는 반면 역 파형은 비활성 가스의 메인 라인 및 브랜치 라인을 스위칭하는 것에 의해 형성된다. 상기 실시형태들에서, 메인 라인 및 브랜치 라인을 스위칭하는 것은 비활성 가스에 대해서만 수행된다.
일부 실시형태들에서, 소스 가스 라인에서의 유압은 자동 압력 조절기에 의해 조절되고, 또한 소스 가스 라인에서의 플로우는 자동 압력 조절기의 하류에서 스로틀에 의해 제어되는 반면, 비활성 가스 라인에서의 매스 플로우는 분기점의 상류에서 매스 플로우 제어기에 의해 조절되고, 브랜치 라인에서의 플로우는 스로틀에 의해 제어된다. 자동 압력 조절기 및 스로틀의 조합은 브랜치 라인 없이 소스 가스 플로우를 급속으로 그리고 반응적으로 시작하고 정지한다. 매스 플로우 제어기는 2 차 측면 상의 매스 플로우를 제어하고, 통상 2 차 측면 상의 일정한 레이트의 세트로 매스 플로우를 제어하기 위한 것이다. 따라서, 매스 플로우 제어기는 낮은 반응 레이트를 갖고 하류에서 발생하는 임의의 플로우 변화들에 응답하는데 있어서 느리다. 대조적으로, 자동 압력 조절기는 세트 값으로 2 차 측면 상의 압력을 제어하며, 매스 플로우 제어기보다 다운 스트림에서의 임의의 압력 변화들에 더 반응적이다.
일부 실시형태들에서, 소스 가스 라인에서의 유압은 자동 압력 조절기에 의해 조절되고, 또한 소스 가스 라인에서의 플로우는 자동 압력 조절기의 하류에서 스로틀에 의해 조절되는 반면, 비활성 가스 라인에서의 유압은 자동 압력 조절기에 의해 조절되며, 파형 및 역 파형은 자동 압력 조절기와 스로틀 사이에서 소스 가스 라인을 개방 및 폐쇄하는 것에 의해 형성된다. 상기 실시형태들에서, 브랜치 라인들은 사용되지 않고, 2 개의 자동 압력 조절기들이 소스 가스 및 비활성 가스를 제어하기 위해 사용되며, 자동 압력 조절기와 스로틀의 조합이 소스 가스 라인을 위해 사용된다. 일부 실시형태들에서, 가스 유입구 라인에서의 플로우가 또한 스로틀에 의해 제어된다. 일부 실시형태들에서, 가스 유입구 라인에서의 스로틀의 스로틀 계량이 소스 가스 라인에서의 스로틀의 스로틀 계량보다 크다.
일부 실시형태들에서, 반응 챔버에서 수행된 타겟 프로세스는 순환 막형성 프로세스, 특히 반도체 프로세싱이다. 순환 막형성 프로세스를 수행할 때, 가스들은 반복적으로 스위칭되고, 이에 따라 반응 챔버에서의 압력이 현저하게 변동한다. 하지만, 개시된 실시형태들에 의하면, 압력 변동이 프로세스 동안 현저하게 억제될 수 있다. 일부 실시형태들에서, 순환 프로세스는 순환 CVD, 플라즈마 강화 원자층 증착 (ALD), 열적 ALD, 라디칼 강화 ALD, 또는 임의의 다른 순환 박막 증착 방법들을 포함한다. 대안으로, 다른 실시형태들에서, 다른 프로세스는 플라즈마 강화 CVD, 열적 CVD, 또는 임의의 다른 박막 증착 방법들을 포함한다.
일부 실시형태들에서, 소스 가스를 구성하는 케미컬들은 실온에서 액체 또는 가스이며, 고 증기압을 갖는다. 용어 "고 증기압" 은 0℃ 에서 약 1 kPa 이상, 통상 0℃ 에서 약 5 kPa 이상의 증기압을 지칭한다. 일부 실시형태들에서, 소스 가스의 체적이 증가되더라도, 프로세스 동안 압력 변동이 효과적으로 억제될 수 있다. 예를 들어, 소스 가스를 구성하는 케미컬들은 실란, 트리실릴아민, 및 헥산을 포함하며, 이들은 단독으로 또는 임의의 조합으로 사용될 수 있다. 대안으로, 다른 실시형태들에서, 소스 가스를 구성하는 케미컬들은 저 증기압을 가지며 실온에서 고체 또는 액체이다. 비활성 가스에 관해서는, (비스(디에틸아미노)실란) 및 테트라에톡시실란이 단독으로 또는 임의의 조합으로 사용될 수 있다.
개시된 실시형태들은 도면들을 참조하여 하기에서 더 설명된다. 하지만, 도면들은 본 발명을 제한하려는 의도는 아니다. 당업자는 장치가 프로그래밍된 또는 그렇지 않으면 증착 및 반응기 세정 프로세스 등이 수행되게 하도록 구성된 하나 이상의 제어기(들)(미도시) 을 포함하다는 것을 알게 될 것이다. 당업자가 알게 되는 바와 같이, 제어기(들) 은 다양한 전력 소스들, 가열 시스템, 펌프들, 로보틱스들 및 가스 플로우 제어기들 또는 반응기의 밸브들과 통신한다.
도 5 는 본 발명의 일 실시형태에 따른 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도들이고, (a) 에서는 소스 가스가 반응 챔버에 공급되고 커버 가스가 배기 라인에 공급되며, (b) 에서는 소스 가스가 배기 라인에 공급되고 커버 가스가 반응 챔버에 공급된다. 이 시스템에서, 가스 유입구 라인 (55) 은 반응 챔버 (51) 에 접속되고, 비활성 가스 라인 (55a) 및 소스 가스 라인 (55b) 은 가스 유입구 라인 (55) 의 타단에 접속된다. 소스 가스 라인 (55b) 은 메인 라인 (55b), 및 가스 유입구 라인 (55) 의 타단의 상류에서 메인 라인 (55b) 으로부터 분기된 브랜치 라인 (63b) 을 갖고, 비활성 가스 라인 (55a) 은 메인 라인 (55a) 및 가스 유입구 라인 (55) 의 타단의 상류에서 메인 라인 (55a) 으로부터 분기된 브랜치 라인 (63a) 을 갖으며, 소스 가스 라인의 브랜치 라인 (63b) 및 비활성 가스 라인의 브랜치 라인 (63a) 은 반응 챔버 (51) 를 우회하는 것에 의해 가스를 충전하기 위해 벤트 라인 (63) 에 접속된다. 소스 가스 라인 (55b) 에서의 매스 플로우는 분기점 (브랜치 라인 (63b) 이 메인 라인 (55b) 과 만나는 지점) 의 상류에서 매스 플로우 제어기 (MFC)(62) 에 의해 조정되고, 비활성 가스 라인 (55a) 의 매스 플로우는 분기점 (브랜치 라인 (63a) 이 메인 라인 (55a) 과 만나는 지점) 의 상류에서 매스 플로우 제어기 (MFC)(61) 에 의해 조절되며, 벤트 라인 (63) 에서의 플로우는 스로틀 (64)(오리피스, 니들 밸브 등일 수 있음) 에 의해 제어된다. 압력 제어 밸브 (54) 는, 반응 챔버 (51) 에서의 압력이 압력 제어 밸브 (54) 의 동작에 의해 제어되도록 반응 챔버 (51) 의 하류에서 배기 라인 (59) 에 제공된다. 비활성 가스 라인의 메인 라인 (55a) 및 브랜치 라인 (63a) 에는 밸브 (v6) 및 밸브 (v5) 가 각각 제공된다. 소스 가스 라인의 메인 라인 (55b) 및 브랜치 라인 (63b) 에는 밸브 (v7) 및 밸브 (v8) 이 각각 제공된다.
이러한 구성에서, 먼저, 도 5 의 (b) 에 예시된 바와 같이, 밸브 (v5) 및 밸브 (v7) 은 폐쇄되는 반면 밸브 (v6) 및 밸브 (v8) 은 개방되고, 비활성 가스가 밸브 (v6) 를 거쳐 MFC (61), 비활성 가스 라인 (메인 라인)(55a) 및 가스 유입구 라인 (55) 을 통해 반응 챔버 (51) 에 주입되고, 소스 가스가 밸브 (v8) 및 스로틀 (64) 를 거쳐 MFC (62), 소스 가스 라인 (55b), 브랜치 라인 (63b) 및 벤트 라인 (63) 을 통해 배기 라인 (59) 으로 전환된다. 다음, 도 5 의 (a) 에 예시된 바와 같이, 비활성 가스 및 소스 가스의 일정한 유량들을 유지하면서, 밸브 (v6) 및 밸브 (v8) 가 폐쇄되는 반면 순간적인 그리고 동기화 방식으로 밸브 (v5) 및 밸브 (v7) 가 개방되고, 비활성 가스는 밸브 (v5) 및 스로틀 (64) 를 거쳐 MFC (61), 비활성 가스 라인 (55a), 브랜치 라인 (63a), 및 벤트 라인 (63) 을 통해 배기 라인 (59) 으로 전환되고, 소스 가스는 밸브 (v7) 을 거쳐 MFC (62), 소스 가스 라인 (55b), 및 가스 유입구 라인 (55) 을 통해 반응 챔버 (51) 에 주입된다. (b) 및 (a) 에 예시된 상태들은 소스 가스가 펄스들로 반응 챔버에 주입되고 비활성 가스가 소스 가스 펄스들과 반대인 역 펄스들로 반응 챔버에 주입되도록 교번하여 반복된다. 반응 챔버에서의 소스 가스 및 비활성 가스의 전체 플로우가 일정하기 때문에, 반응 챔버에서의 압력도 또한 일정하여 압력 제어 밸브 (54) 가 압력 변동을 야기하지 않는다. 본 개시물에서, 비활성 가스는 또한 그 플로우가 소스 가스의 플로우의 변동을 보상하는 "커버 가스" 로서 지칭될 수도 있다. 소스 가스는 실온에서 고체 또는 액체인 기화된 케미컬들, 또는 희석 또는 반송 가스에 의해 또는 이러한 가스 없이 실온에서 가스인 가스성 케미컬들의 혼합물일 수도 있다.
스로틀 (64) 은 MFC (61) 에 의해 조절되는 매스 플로우를 더 정확하게 제어하도록 벤트 라인 (63) 에 제공된다. 배기 라인과 벤트 라인의 사이에서 압력의 차이가 있다면, 도 5 의 (b) 및 (a) 에 예시된 상태들을 스위칭할 때, MFC 의 2차 측면 상의 압력이 변화되고, 이에 따라 MFC 로부터 출력된 일정한 매스 플로우를 유지하는 것이 어렵다. 즉, (a) 에 예시된 상태에서 벤트 라인을 통과하는 경로에서보다 (b) 에 예시된 상태에서 반응 챔버를 통과하는 경로에서 더 많은 압력 손실이 발생하고, 이에 따라 MFC 의 개방이 (a) 에 예시된 상태에서 보다 (b) 에 예시된 상태에서 더 넓어지는 경향이 있다. 상기 문제를 완화하기 위해, 스로틀이 반응 챔버의 바로 하류에서 배기 라인 보다 압력 손실이 적은 벤트 라인에 제공되어, 벤트 라인에서의 압력 손실 및 반응 챔버의 바로 하류에서 배기 라인에서의 압력 손실이 매칭됨으로써, 도 5 의 (b) 및 (a) 에 예시된 상태들을 스위칭할 때, MFC 로부터 매스 플로우를 일정한 값으로 조절한다.
도 6 은 반응 챔버에서의 소스 가스 플로우 및 커버 가스 플로우의 변화들, 및 시간에 따른 반응 챔버 압력의 변화들을 나타내는 챠트들을 예시한다. 도 6 에 예시된 바와 같이, 소스 가스가 파형에 따른 펄스들로 반응 챔버에 주입되는 반면, 도 6 에 예시된 바와 같이 커버 가스는 역 파형에 따른 역 펄스들로 반응 챔버에 주입되며, 파형과 역 파형의 중첩된 파형은 실질적으로 평탄하며, 이에 의해 도 6 에 예시된 바와 같이 반응 챔버의 압력을 실질적으로 일정한 값으로 안정화한다. 파형은 소스 가스의 메인 라인 (55b) 및 브랜치 라인 (63b) 을 스위칭하는 것에 의해 형성되는 반면, 역 파형은 비활성 가스의 메인 라인 (55a) 및 브랜치 라인 (63a) 을 스위칭하는 것에 의해 형성된다.
도 7 은 본 발명의 또 다른 실시형태에 따른 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도들을 예시하며, (a) 에서는 소스 가스가 반응 챔버에 공급되고 커버 가스가 배기 라인에 공급되며, (b) 에서는 소스 가스는 공급되지 않고 커버 가스가 반응 챔버에 공급된다. 이 시스템에서, 비활성 가스 라인은 메인 라인 (55a), 및 가스 유입구 라인 (55) 의 타단의 상류에서 메인 라인 (55a) 으로부터 분기된 브랜치 라인 (63) 을 갖고, 비활성 가스 라인의 상기 브랜치 라인 (63) 은 반응 챔버 (51) 를 우회하는 것에 의해 가스를 방전하기 위한 벤트 라인인 반면, 소스 가스 라인 (55b) 은 벤트 라인 (63) 에 접속되지 않는다. 소스 가스 라인 (55b) 에서의 유압은 자동 압력 조절기 (APR)(62a) 에 의해 조절되고, 또한 소스 가스 라인 (55b) 에서의 플로우는 자동 압력 조절기 (62a) 의 하류에서 밸브 (v9) 및 스로틀 (65) 에 의해 제어되는 반면, 비활성 가스 라인 (55a) 에서의 매스 플로우는 분기점 (브랜치 라인 (63) 이 메인 라인 (55a) 과 만나는 지점) 의 상류에서 매스 플로우 제어기 (MFC)(61) 에 의해 조절되며, 브랜치 라인 (63) 에서의 플로우는 스로틀 (64) 에 의해 제어된다.
이러한 구성에서, 먼저, 도 7 의 (b) 에 예시된 바와 같이, 밸브 (v5) 및 밸브 (v9) 는 폐쇄되는 반면 밸브 (v6) 는 개방되고, 비활성 가스가 밸브 (v6) 를 거쳐 MFC (61), 비활성 가스 라인 (메인 라인)(55a), 및 가스 유입구 라인 (55) 을 통해 반응 챔버에 주입되고, 소스 가스는 공급되지 않는다. 다음, 도 7 의 (a) 에 예시된 바와 같이, 비활성 가스의 일정한 유량을 유지하면서, 순간적인 그리고 동기화 방식으로 밸브 (v6) 가 폐쇄되는 반면 밸브 (v5) 및 밸브 (v9) 는 개방되고, 비활성 가스가 밸브 (v5) 및 스로틀 (64) 을 거쳐 MFC (61), 비활성 가스 라인 (55a), 브랜치 라인 (벤트 라인)(63) 을 통해 배기 라인 (59) 으로 전환되고, 소스 가스는 밸브 (v9) 및 스로틀 (65) 을 통해 APR (62a), 소스 가스 라인 (55b) 및 가스 유입구 라인 (55) 을 통해 반응 챔버에 주입된다. (b) 및 (a) 에 예시된 상태들은 소스 가스가 펄스들로 반응 챔버에 주입되고 비활성 가스가 소스 가스 펄스들과 반대인 역 펄스들로 반응 챔버에 주입되도록 교번하여 반복된다. 반응 챔버에서의 소스 가스 및 비활성 가스의 전체 플로우가 일정하기 때문에, 압력 제어 밸브 (54) 가 압력 변동을 야기하지 않도록 반응 챔버에서의 압력이 또한 일정하다.
스로틀 (65) 은 밸브 (v9) APR (62a) 의 하류에서 소스 가스 라인 (55b) 에 제공된다. APR 의 2 차 측면이 불충분한 압력 손실을 갖는다면, APR 이 2 차 측면 상의 압력을 증가시킬 수 없을 수도 있고, APR 에 진입하는 소스 가스의 인플로우 양이 증가한다. 상기 문제를 완화하기 위해, 스로틀 (65) 은 APR 의 제어능력을 증가시키도록 소스 가스 라인 (55b) 에 제공된다.
도 8 은 반응 챔버에서의 소스 가스 플로우 및 커버 가스 플로우에서의 변화들, 및 시간에 따른 반응 챔버 압력에서의 변화들을 나타내는 챠트들을 예시한다. 도 8 에 예시된 바와 같이, 소스 가스가 파형에 따른 펄스들로 반응 챔버에 주입되는 반면, 도 8 에 예시된 바와 같이, 커버 가스는 역 파형에 따른 역 펄스들로 반응 챔버에 주입되고, 파형과 역 파형의 중첩된 파형들은 실질적으로 평탄하며, 이에 의해 도 8 에 예시된 바와 같이 반응 챔버의 압력을 실질적으로 일정한 값으로 안정화한다. 파형은 소스 가스 라인 (55b) 을 개방 및 폐쇄하는 것에 의해 형성되는 반면, 역 파형은 비활성 가스의 메인 라인 (55a) 및 브랜치 라인 (63) 을 스위칭하는 것에 의해 형성된다. 도 8 에서, 소스 가스 플로우의 파형에서의 펄스들은 완전히 미러링하지 않지만, 커버 가스 플로우의 역 파형에서의 역 펄스들과 실질적으로 유사하다. 파형들이 서로 실질적으로 유사하다면, 반응 챔버에서의 전체 가스 플로우가 안정화될 수 있고, 거기에서의 갑작스런 압력 변화들이 효과적으로 회피될 수 있어서, 파티클들의 생성을 억제한다. 도 7 에서와 같이 APR, 스위치 밸브, 및 스로틀이 반응 챔버 쪽으로 이 순서로 배열된 것을 사용할 때, 스로틀의 사이즈, APR 의 1 차 측면 상의 압력, APR 의 반응성에 의존하여, 가스 플로우의 펄스가 시작되고 약 0.1 초 내에서 안정화될 수 있다.
도 9 는 본 발명의 또 다른 실시형태에 따른 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도들을 예시하며, (a) 에서는 소스 가스가 반응 챔버에 공급되고 커버 가스도 또한 반응 챔버에 공급되며, (b) 에서는 소스 가스가 공급되지 않고 커버 가스가 증가된 유량으로 반응 챔버에 공급된다. 이 시스템에서 벤트 라인은 제공되지 않는다. 비활성 가스 라인은 가스 유입구 라인 (55) 의 타단에 접속된 메인 라인 (55a) 을 갖는다. 소스 가스 라인 (55b) 에서의 유압은 자동 압력 조절기 (APR)(62a) 에 의해 조절되고, 또한 소스 가스 라인 (55b) 에서의 플로우는 자동 압력 조절기 (62a) 의 하류에서 밸브 (v9) 및 스로틀 (65) 에 의해 제어되는 반면, 비활성 가스 라인 (55a) 에서의 매스 플로우는 자동 압력 조절기 (APR)(61a) 에 의해 조절되고, 비활성 가스 라인 (55a) 또는 가스 유입구 라인 (55) 에는 밸브가 제공되지 않는다.
이러한 구성에서, 먼저, 도 9 의 (b) 에 예시된 바와 같이, 밸브 (v9) 는 폐쇄되며, 비활성 가스가 APR (61a), 비활성 가스 라인 (55a), 및 가스 유입구 라인 (55) 을 통해 반응 챔버 (51) 에 주입되고, 소스 가스는 공급되지 않는다. 다음, 도 9 의 (a) 에 예시된 바와 같이, 밸브 (v9) 가 개방되고, APR (61a) 이 순간적이고 그리고 동기화 방식으로 가스 유입구 라인 (55) 에서의 압력의 증가에 응답하여 아웃 플로우를 감소시키며, 소스 가스가 밸브 (v9) 를 거쳐 APR (62a), 소스 가스 라인 (55b) 및 가스 유입구 라인 (55) 을 통해 반응 챔버 (51) 에 주입되고, 비활성 가스가 또한 감소된 유량으로 반응 챔버 (51) 에 주입된다. (b) 및 (a) 에 예시된 상태들은 소스 가스가 펄스들로 반응 챔버에 주입되고 비활성 가스가 소스 가스 펄스들과 반대인 역 펄스들로 반응 챔버에 주입되도록 교번하여 반복된다. 반응 챔버에서의 소스 가스 및 비활성 가스의 전체 플로우가 일정하기 때문에, 반응 챔버에서의 압력도 또한 일정하여 압력 제어 밸브 (54) 가 압력 변동을 야기하지 않는다.
도 10 은 반응 챔버에서의 소스 가스 플로우 및 커버 가스 플로우의 변화들 및 시간에 따른 반응 챔버 압력의 변화들을 나타내는 챠트들을 예시한다. 도 10 에 예시된 바와 같이 소스 가스가 파형에 따른 펄스들로 반응 챔버에 주입되는 반면, 도 10 에 예시된 바와 같이 커버 가스가 역 파형에 따른 역 펄스들로 반응 챔버에 연속적으로 주입되며, 파형과 역 파형의 중첩된 파형들이 실질적으로 평탄하게 이루어지고, 이에 의해 도 10 에 예시된 바와 같이 반응 챔버의 압력을 실질적으로 일정한 값으로 안정화한다. 파형 및 역 파형은 자동 압력 조절기 (62a) 및 스로틀 (65) 사이에서 소스 가스 라인 (55b) 에서의 밸브 (v9) 를 개방 및 폐쇄하는 것에 의해 형성된다. 도 10 에서는, 도 6 및 도 8 과 마찬가지로, 소스 가스 플로우의 파형에서의 펄스들이 완전히 미러링하지 않지만, 커버 가스 플로우의 역 파형에서의 역 펄스들과 실질적으로 유사하다. 도 9 에서와 같이, 제 1 APR, 스위치 밸브 및 스로틀이 반응 챔버 쪽으로 이 순서대로 배열된 것을 사용할 때, 제 1 가스 플로우의 펄스가 시작하고 약 0.1 초 내에서 안정화될 수 있으며, 또한 도 9 에서와 같이 제 1 APR 에 평행하게 제 2 가스 라인에 배열된 제 2 APR 을 사용할 때, 제 2 APR 은 동기화 방식으로 제 2 가스의 아웃 풀로우를 조정할 수 있어서, 제 1 가스 플로우의 펄스들에 응답하여 제 1 및 제 2 가스들의 전체 하류 압력을 유지한다.
도 11 은 본 발명의 또 다른 실시형태에 따른 소스 가스를 반응 챔버에 공급하는 가스 공급 시스템의 개략도를 예시한다. 이 구성은 스로틀 (66) 이 가스 유입구 라인 (55) 에 제공되는 것을 제외하고, 도 9 에 예시된 것과 동일하다. 스로틀 (66) 은 비활성 가스 라인 (55a) 과 소스 가스 라인 (55b) 이 만나는 병합 지점의 하류에서 가스 유입구 라인 (55) 에 제공된다. APR (61a) 의 2 차 측면의 하류에서의 압력 손실이 불충분하다면, APR 은 2 차 측면 상의 압력을 증가시킬 수 없을 수도 있고, APR 을 통과하는 소스 가스의 양이 증가한다. 상기 문제를 완화하기 위해, 스로틀 (66) 이 APR (61a) 의 제어능력을 증가시키도록 가스 유입구 라인 (55) 에 제공된다. 하지만, 스로틀 (66) 의 스로틀 계량이 너무 작다면, 비활성 가스의 플로우가 너무 낮아서, 반응 챔버로부터 소스 가스를 퍼지하기 위한 퍼지시간을 장기화시킨다. 따라서, 일부 실시형태들에서, 가스 유입구 라인 (55) 에서의 스로틀 (66) 의 스로틀 계량은 소스 가스 라인 (55b) 에서의 스로틀 (65) 의 스로틀 계량 보다 크다.
예 1
더미 레시피는, 도 5 에 예시된 PEALD 장치에 대해 생성되었고, 반응 챔버의 압력이 500 Pa 로 제어되고, 소스 가스가 SiH4 이고, 반응 챔버로 소스 가스를 공급하는 펄스의 지속기간이 0.2 초 이고, 커버 가스가 Ar 이고, 반응 챔버로 커버 가스를 공급하는 펄스의 지속 시간이 2.0 초 이고, 소스 가스의 유량 (FR) 및 커버 가스의 유량이 동일하고 하기 표 1 에 나타낸 바와 같이 설정되며, 벤트 라인에 제공된 니들 밸브의 핸들 포지션 (도 5 에서 스로틀 (64)) 이 하기 표 1 에 나타낸 바와 같이 설정되는 조건들 하에서 수행되었다. 도 13 에 예시된 바와 같이 소스 가스 및 커버 가스의 펄스들을 조정하면서, 도 5 의 (b) 에 예시된 상태 및 도 5 의 (a) 에 예시된 상태를 교번하여 반복하는 것에 의해 20 사이클들이 조건들의 각 세트에 대해 수행되었다. 도 13 에 예시된 바와 같이, 반응 챔버에서의 압력 변동은 최대 압력과 최소 압력 사이의 차이가 되도록 정의되었고, 델타 P (△P[Pa]) 로서 프로세스 동안 측정되었다. 결과들이 표 1 및 도 12 에 나타나 있다. 표에서, "CV" 는 밸브를 통한 대략적인 플로우를 표시하는 플로우 계수로서 알려져 있으며, 인가된 압력에 기초하여 계산될 수 있다 ((CV=F(SG/dP)1/2, 식중 F = 유량 [US gallons per minute], SG = 유체의 비중 [물에 대해서는 1], dP = 밸브에 걸친 압력 드롭 [psi] 이다).
Figure pat00001
표 1 및 도 12 에 나타낸 바와 같이, 벤트 라인을 통한 플로우가 제로일 때, 반응 챔버에서의 압력 변동이 상당하였다 (500 Pa 의 반응 챔버 압력 세트에서 1 slm 에 대해 △P = 114.3 Pa, 2 slm 에 대해 △P = 158.9 Pa). 벤트 라인을 통한 플로우가 증가하였을 때, 반응 챔버에서의 압력 변동이 효과적으로 억제되었으며, 특히 CV 값이 0.025 이상이었을 때 (1 slm 에 대해 △P = 8.3 Pa 이하, 2 slm 에 대해 △P = 15.8 Pa 이하), 프로세스 동안 발생되는 압력 변동이 실질적으로 없음을 표시하였다. 하지만, 벤트 라인에 어떠한 니들 밸브도 제공되지 않았다면, 벤트 라인 측면 (낮은 압력 손실) 과 반응 챔버 측면 (높은 압력 손실) 사이의 압력 차이로 인해, 반응 챔버에 진입하는 플로우가 변동되었다.
당업자는 많은 그리고 다양한 수정들이 본 발명의 사상으로부터 벗어나지 않으면서 이루어질 수 있다는 것을 행해질 수 있다는 것을 이해할 것이다. 따라서, 본 발명의 형태들은 단지 예시적인 것이며, 본 발명의 범위를 제한하도록 의도된 것이 아니라는 것을 명백하게 이해하여야 한다.

Claims (12)

  1. 제 1 가스 및 제 2 가스를 사용하여 프로세스 동안 반응 챔버의 압력을 안정화하는 방법으로서,
    가스 유입구 라인이 상기 반응 챔버에 접속되고, 제 2 가스 라인 및 제 1 가스 라인이 상기 가스 유입구 라인의 타단에 접속되며,
    상기 반응 챔버의 압력을 안정화하는 방법은,
    상기 제 1 가스 라인 및 상기 가스 유입구 라인을 통해 상기 반응 챔버에 파형에 따른 펄스들로 제 1 가스를 주입 (feeding) 하는 단계; 및
    상기 제 2 가스 라인 및 상기 가스 유입구 라인을 통해 상기 반응 챔버에 역 파형에 따른 펄스들로 제 2 가스를 주입하는 단계를 포함하고,
    상기 파형과 역 파형의 중첩된 파형들이 실질적으로 또는 거의 평탄하며, 이에 의해 상기 반응 챔버의 압력을 안정화하는, 반응 챔버의 압력을 안정화하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 가스 라인은 메인 라인 및 상기 가스 유입구 라인의 타단의 상류에서 상기 메인 라인으로부터 분기된 브랜치 라인을 갖고,
    상기 제 2 가스 라인은 메인 라인 및 상기 가스 유입구 라인의 타단의 상류에서 상기 메인 라인으로부터 분기된 브랜치 라인을 갖으며,
    상기 제 1 가스 라인의 브랜치 라인 및 상기 제 2 가스 라인의 브랜치 라인이 상기 반응 챔버를 우회하는 것에 의해 가스를 방전하는 벤트 라인에 접속되며,
    상기 파형은 상기 제 1 가스의 메인 라인과 브랜치 라인을 스위칭하는 것에 의해 형성되는 반면, 상기 역 파형은 상기 제 2 가스의 메인 라인과 브랜치 라인을 스위칭하는 것에 의해 형성되는, 반응 챔버의 압력을 안정화하는 방법.
  3. 제 2 항에 있어서,
    상기 제 1 가스 라인에서의 매스 플로우는 분기점의 상류에서 매스 플로우 제어기에 의해 조절되고,
    상기 제 2 가스 라인에서의 매스 플로우는 상기 분기점의 상류에서 매스 플로우 제어기에 의해 조절되며,
    상기 벤트 라인에서의 플로우는 스로틀 (throttle) 에 의해 제어되는, 반응 챔버의 압력을 안정화하는 방법.
  4. 제 1 항에 있어서,
    상기 제 2 가스 라인은 메인 라인 및 상기 가스 유입구 라인의 타단의 상류에서 상기 메인 라인으로부터 분기된 브랜치 라인을 갖고,
    상기 제 2 가스 라인의 상기 브랜치 라인은 상기 반응 챔버를 우회하는 것에 의해 가스를 방전하는 벤트 라인인 반면, 상기 제 1 가스 라인은 상기 벤트 라인에 접속되지 않으며,
    상기 파형은 상기 제 1 가스 라인을 개방 및 폐쇄하는 것에 의해 형성되는 반면, 상기 역 파형은 상기 제 2 가스의 메인 라인 및 브랜치 라인을 스위칭하는 것에 의해 형성되는, 반응 챔버의 압력을 안정화하는 방법.
  5. 제 4 항에 있어서,
    상기 제 1 가스 라인에서의 유압은 자동 압력 조절기에 의해 조절되고, 또한 상기 제 1 가스 라인에서의 플로우는 상기 자동 압력 조절기의 하류에서 스로틀에 의해 제어되는 반면, 상기 제 2 가스 라인에서의 매스 플로우는 분기점의 상류에서 매스 플로우 제어기에 의해 조절되고, 상기 브랜치 라인에서의 플로우가 스로틀에 의해 제어되는, 반응 챔버의 압력을 안정화하는 방법.
  6. 제 1 항에 있어서,
    상기 제 1 가스 라인에서의 유압은 자동 압력 조절기에 의해 조절되고, 또한 상기 제 1 가스 라인에서의 플로우는 상기 자동 압력 조절기의 하류에서 스로틀에 의해 제어되는 반면, 상기 제 2 가스 라인에서의 유압은 자동 압력 조절기에 의해 조절되며,
    상기 파형 및 상기 역 파형은 상기 자동 압력 조절기와 상기 스로틀 사이에서 상기 제 1 가스 라인을 개방 및 폐쇄하는 것에 의해 형성되는, 반응 챔버의 압력을 안정화하는 방법.
  7. 제 6 항에 있어서,
    상기 가스 유입구 라인에서의 플로우가 또한 스로틀에 의해 제어되는, 반응 챔버의 압력을 안정화하는 방법.
  8. 제 7 항에 있어서,
    상기 가스 유입구 라인에서의 상기 스로틀의 스로틀 계량이 상기 제 1 가스 라인에서의 상기 스로틀의 스로틀 계량보다 큰, 반응 챔버의 압력을 안정화하는 방법.
  9. 제 1 항에 있어서,
    상기 프로세스는 순환 막형성 프로세스인, 반응 챔버의 압력을 안정화하는 방법.
  10. 제 9 항에 있어서,
    상기 프로세스는 원자층 증착 (ALD) 인, 반응 챔버의 압력을 안정화하는 방법.
  11. 제 1 항에 있어서,
    상기 제 1 가스는 소스 가스이고, 상기 제 2 가스는 비활성 가스인, 반응 챔버의 압력을 안정화하는 방법.
  12. 제 11 항에 있어서,
    상기 소스 가스를 구성하는 케미컬들은 실온에서 액체 또는 가스이고, 상기 비활성 가스는 희가스인, 반응 챔버의 압력을 안정화하는 방법.
KR1020150046393A 2014-04-07 2015-04-01 반응 챔버 압력을 안정화하는 방법 KR102403530B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/246,969 US9663857B2 (en) 2014-04-07 2014-04-07 Method for stabilizing reaction chamber pressure
US14/246,969 2014-04-07

Publications (2)

Publication Number Publication Date
KR20150126769A true KR20150126769A (ko) 2015-11-13
KR102403530B1 KR102403530B1 (ko) 2022-05-27

Family

ID=54209245

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150046393A KR102403530B1 (ko) 2014-04-07 2015-04-01 반응 챔버 압력을 안정화하는 방법

Country Status (3)

Country Link
US (1) US9663857B2 (ko)
KR (1) KR102403530B1 (ko)
TW (1) TWI663283B (ko)

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5689294B2 (ja) * 2010-11-25 2015-03-25 東京エレクトロン株式会社 処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN110582591B (zh) 2017-05-02 2022-05-10 皮考逊公司 原子层沉积设备、方法和阀
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP7254620B2 (ja) * 2018-06-26 2023-04-10 株式会社Kokusai Electric 半導体装置の製造方法、部品の管理方法、基板処理装置及び基板処理プログラム
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200318237A1 (en) * 2019-04-05 2020-10-08 Asm Ip Holding B.V. Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060147626A1 (en) * 2004-12-30 2006-07-06 Blomberg Tom E Method of pulsing vapor precursors in an ALD reactor
US20080264337A1 (en) * 2007-04-02 2008-10-30 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20100178423A1 (en) * 2009-01-13 2010-07-15 Asm Japan K.K. Method for controlling flow and concentration of liquid precursor

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003062490A2 (en) 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
JP3670628B2 (ja) * 2002-06-20 2005-07-13 株式会社東芝 成膜方法、成膜装置、および半導体装置の製造方法
US20050120805A1 (en) * 2003-12-04 2005-06-09 John Lane Method and apparatus for substrate temperature control
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060147626A1 (en) * 2004-12-30 2006-07-06 Blomberg Tom E Method of pulsing vapor precursors in an ALD reactor
US20080264337A1 (en) * 2007-04-02 2008-10-30 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20100178423A1 (en) * 2009-01-13 2010-07-15 Asm Japan K.K. Method for controlling flow and concentration of liquid precursor

Also Published As

Publication number Publication date
US20150284848A1 (en) 2015-10-08
TW201608049A (zh) 2016-03-01
TWI663283B (zh) 2019-06-21
KR102403530B1 (ko) 2022-05-27
US9663857B2 (en) 2017-05-30

Similar Documents

Publication Publication Date Title
KR102403530B1 (ko) 반응 챔버 압력을 안정화하는 방법
US20210230746A1 (en) Systems and methods for stabilizing reaction chamber pressure
CN103649367B (zh) 半导体制造装置的原料气体供给装置
US10340125B2 (en) Pulsed remote plasma method and system
US8151814B2 (en) Method for controlling flow and concentration of liquid precursor
CN101818334B (zh) Ald装置和方法
US9405298B2 (en) System and method to divide fluid flow in a predetermined ratio
US8849466B2 (en) Method of and apparatus for multiple channel flow ratio controller system
US8728239B2 (en) Methods and apparatus for a gas panel with constant gas flow
US11180850B2 (en) Dynamic precursor dosing for atomic layer deposition
TWI793197B (zh) 原子層沉積之裝置及方法
US20210020469A1 (en) Semiconductor vapor etching device with intermediate chamber
US11520358B2 (en) Gas-pulsing-based shared precursor distribution system and methods of use
JP2007242875A (ja) 有機金属気相成長装置およびそれを用いた気相成長方法
US20210354053A1 (en) Reducing or eliminating liquid de-gassing
KR20120091564A (ko) 가스공급장치 및 이를 제어하는 방법
US11566327B2 (en) Methods and apparatus to reduce pressure fluctuations in an ampoule of a chemical delivery system
US20230093365A1 (en) Semiconductor manufacturing apparatus
JP2017190492A (ja) ガス供給装置
JP2020105577A (ja) 流体供給装置
JP2008166670A (ja) 有機金属気化供給装置、有機金属気相成長装置、有機金属気相成長方法、ガス流量調節器、半導体製造装置、および半導体製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant