TW201126633A - Substrate processing apparatus and method - Google Patents

Substrate processing apparatus and method Download PDF

Info

Publication number
TW201126633A
TW201126633A TW099130797A TW99130797A TW201126633A TW 201126633 A TW201126633 A TW 201126633A TW 099130797 A TW099130797 A TW 099130797A TW 99130797 A TW99130797 A TW 99130797A TW 201126633 A TW201126633 A TW 201126633A
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
transfer
storage chamber
wafer
Prior art date
Application number
TW099130797A
Other languages
English (en)
Other versions
TWI455231B (zh
Inventor
Tsutomu Hiroki
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201126633A publication Critical patent/TW201126633A/zh
Application granted granted Critical
Publication of TWI455231B publication Critical patent/TWI455231B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

201126633 六、發明說明: 【發明所屬之技術領域】 本發明關於一種對半導體晶圓、液晶用基板、有機 EL元件等基板實施真空處理之基板處理裝置及方法。 【先前技術】 在製造半導體元件或FPD(Flat Panel Display)時, 係對半導體晶圓(以下,單純稱為晶圓)或液晶用基板等 實施成膜、蝕刻、氧化、擴散等各種處理。為了能夠以 高產能進行該等處理,便使用稱為多處理室 (multi-chamber)系統之基板處理裝置。 作為這類基板處理裝置的一例,如圖9所示,已知 有具有以下組件者:載置有收納複數個晶圓的運送容器 (晶圓傳送盒;Front Opening Unified Pod)之晶圓傳送盒 載置台1 ;具有搬送晶圓W的搬送臂5,並在真空氛圍 下之轉移模組2(Transfer Module : TM);配置在該轉移 模組2周圍’並於真空氛圍下對晶圓w施予特定處理 之複數個製程模組3(Process Module : PM);具有具備 搬送晶圓W的搬送臂之主搬送單元,並在常壓氛圍下 之載置模組4(LoaderModule : LM);配置於載置模組4 及轉移模組2之間’並可切換於真空氛圍與常壓氛圍之 2 個裝載模組 6a、6b(Load Lock Module : LLM);以及 鄰接設置於載置模組4 ’並對晶圓W的方向進行預對位 之對準器(ORT)(未圖示)。 201126633 該基板處理裝置之晶圓搬送路徑如以下所述。晶圓 傳送盒载置台1所載置之未處理的晶圓w係依下述順 序被搬送:LM4—ORT—»LLM6a—^TM2—·ΡΜ3。然後, 於製程模組3在特定處理氣體氛圍下實施例如蝕刻處 理後,處理結束的晶圓W會依下述順序被搬送: ΤΜ2 —>LLM6b—^LM4—^晶圓傳送盒載置台ι。 巩午术,依所使用 ❹
理結束的晶圓W回到載置模組4時與空氣中的水分反 應,而於其周邊放出腐蝕性氣體之問題產生。又,由於 產生腐蝕性氣體的反應會持續一段時間,故亦有回到晶 圓傳送盒内的腐蝕性氣體會污染到未處理晶圓之問題 產生》以下顯不其中—例:於製程模組3中,有將例如 HBr氣體或Cl2氣體等處理氣體電聚化,來對晶圓^上 =成之聚賴進行_的情況。此纽下,伴隨著敍 t :會有生成4峨切或氯化料)殘留在晶圓W 微細化^由於3曰圓W的設計規則(design疏)更加 殘留US的線寬亦更加狹窄,故副生成物會容易 w搬入=卿成之構造的間隙等。當將該晶圓 會:工1=:模_^ 性氣體並魏,㈣減錢化氫等额 中存麵㈣與大氣 並擴散#置模組α Μ化Μ氯缺4微粒子 為解夫上述問題,如圖9所示,基板處理袭置便内 5 201126633 =於常壓氛®下暫時保管處理結束的晶圓之吹淨儲 =至:。由於可在吹淨儲存室7去除處理結束的晶圓w ,、大氣反應所生成之腐餘性氣體,故可防止從回到晶圓 傳送盒的晶圓放出腐蝕性氣體。 然而,將處理結束的晶圓W從裝載模組6b搬送至 吹淨儲存室7時’晶圓w會通過載置模組4。由於載置 模組4為在常壓氛圍下搬送晶圓W之房間,故晶圓W 進入載置模組4的瞬間,會有從晶圓%放出腐餘性氣 ,之虞。其結果為’載置模組4的金屬部分(例如搬送 至壁部或搬送單元)便會被雜,該雜部分例如會因 機械運動而摩擦引起金m防止上述情況發生, 便對載置模組4施予強化排氣、對搬送室壁部表面實施 特殊處理(塗佈Teflon(註冊商標)或耐酸鋁加工)、選擇 耐蝕性材料等大規模防腐蝕對策。 肩大規模防腐蝕對策會導致载置模組的成本增 加。近年來’由於基板處理裝置被要求低成本、高可靠 度、強化安全、低維修料,因此載置模組便被期望能 夠不需或減輕防腐餘對策。 ^為了降低因腐蝕性氣體所造成對載置模組的影 響,專利文獻(日本特開2008_5355〇號公報)中揭示了一 種使p人淨儲存室鄰接配置於裝载模組,並藉由載置模組 的主搬送單元來將處理結束的基板從裝載模組搬送至 吹淨儲存室之基板處理裝置。 然而,上述專利文獻所記載之基板處理裝置中,由 201126633 於主搬送單元的一部份(例如搬送晶圓之搬送臂單元)仍 會接觸到腐钱性氣體,而有吹淨儲存室所產生之腐蝕性 ,體流入至載置模組之虞,故不能說是完善的防腐蝕對 桌並且,載置模組所配置之一個主搬送單元要負擔將 晶圓從裝載模组搬送至吹淨儲存室之作業,與將晶圓從 吹淨儲存室傳送回晶®傳送盒載置台之作業,故亦有產 能降低之問題。 Ο Ο 口此本發明之目的在於提供一種不需對载置模組 施予大規模防舰職,且產鮮會降低之基板處理裝 置及方法。 义 【發明内容】 理F ί解ίΐ述:題’本發明其中一樣態為-種基板處 送::二·/置台,係載置有收納複數個基板之運 室’係在減壓氛圍下對基板施予處 理,裝载至,係與該減壓處理室之間進行基板的收授, 亚可切換於減壓氛圍與常壓氛圍;大氣搬送室,係 t常壓氛圍中敎納於該運送容器之基板從該載置台 搬送至S亥裝載至的主搬送單元.月彳兮六— 束的基板維持在較該減壓氛圍要高壓::氛 :將處3 徵在於:設置有用以將處理結束的基板從室= 通過該㈣㈣室地_轉畴室之物4 = 迴路徑係配置有將處理結束的基板^= 該儲存室的副搬送單it;藉切m、/戟至搬运至 褙田°亥爷壓搬送室的該主搬送 7 201126633 單元來將處理結束的基板從該儲存室搬送至該載置台 的該運送容器》 " 本發明另一樣態為一種基板處理方法,係具有以下 步驟:藉由常壓搬送室的主搬送單元來將收納於載置台 的運送容器之未處理基板從該載置台搬送至常壓氛圍 的裝載室之步驟;將未處理基板從該裝載室搬送至^壓 處理室,並於減壓處理室對基板施予處理之步驟;將^ 理結束的基板從該減壓處理室搬送至減壓氛圍的裝载 室之步驟;將該裝載室内的氛圍從減壓氛圍切換至常壓 ,圍之步驟;將處理結束的基板從該裝載室搬送至儲存 室之步,;利用該儲存室來使處理結束的基板維持在較 ,減壓氛圍要高壓力的氛圍中之步驟;該藉由該常壓搬 ,室的主搬送單元來將處理結束的基板從該儲存室搬 适至該載置台的該運送容n之步驟;其特徵在於係設置 有^下步:藉岐處理結束的基板觀裝載室至該儲 存室迁迴錢之迁挪㈣雜送單元,來將處理 的基板從該裝載室不會通過該常壓搬送室地搬送 ίίΐίΠ板^該常麵送㈣該主搬送單元來^ 步:板從該儲存室回到該載置台的該運送容 夠讓裝載室至,之間係附-有能 裡,故不需對常=板不需通^壓_室之迁迴路 且,由於迁迴路卜运室施予大規模防腐餘對策。並 甶於、迴路徑的副搬送單元會分擔主搬送單元的作 201126633 業,且迂迴路桎的副搬送單元與常壓搬送室的主搬送單 兀可並行地動作,故可提高產能。 【實施方式】 、以下,參照添附圖式,針對本發明第丨實施形態之 搬送模組加以說明。圖1為第1實施形態之基板處理裝 置的概略平面圖,圖2為概略立體圖。圖1中,元件符 Ο ❹ ,U為一片片地搬送處理基板(半導體晶圓,以下稱為 ΒΘ圓W。)並施予特定處理之枚葉式基板處理裝置。該 基板處理艘置11具有:平面略呈五角形的轉移模^ (’配置於轉移模組12 —側的縱側面(圖1中的γ 轴方向)之2個製程模組(PM)13a、nb ;配置於轉移模 組12另一側的縱侧面(圖丨中的γ軸方向)之2個製程 模組13c、13d;配置於轉移模組12 一側的横側斜面、 1十的X軸方向)之2個裝載模組(LLM) 1如、1扑;以及 與裝载模組14a及裝载模組14b並列般地設置而作為常 壓搬送室的载置模組15。此外,裝裁模組14a係相當於 置入專用的裝載室,裝载模組14b係相當於移出專用 裝載室。 作為減壓搬送室的製程模組13a〜13d係具有用以 載置晶圓W之晶圓載置台16、電漿產生用電極、及用 =共給處王里氣體(例如填化氫(HBr)氣體)之處理氣體俾 :=。藉由對電極施加高頻電力來將處理氣體電裝化: 亚藉由該電漿來進行_晶圓w上所形成之例 9 201126633 膜的蝕刻處理等。 轉移模組12與製程模組13a〜13d的連結部分分別 設置有閘閥。又,轉移模組12係設置有可水平旋轉且 可向半徑方向或水平方向伸縮之無向量型(scalar)或虫圭 腳(frog leg)式多關節塑搬送臂單元17。該搬送臂單元 17係於各製程模組13a〜13d與裝載模組14a、14b間搬 送晶圓W。 載置模組15為横向的長條箱狀。載置模組15的内 部配置有主搬送單元18。主搬送單元18係具有:可沿 著配置於載置模組15内的長邊方向之導引軌道19往復 移動之X轴移動部、透過可昇降地設置於該X軸移動 部上之Z軸移動部而可水平旋轉之旋轉台20、及設置 於該旋轉台20上且可向半徑方向或水平方向伸縮之多 關節型臂21。該多關節型臂21的晶圓保持部係形成為 例如又狀,並可支撐晶圓W下表面的周緣部。載置模 組15内建有3個晶圓傳送盒載置台22a〜22c。對應於載 置模組15的3個晶圓傳送盒載置台22a〜22c之前面部 係形成有作為晶圓W投入口的3個晶圓搬出入口。 載置模組15的頂部裝設有風扇與過濾器所組合而 成之風扇過濾器單元(FFU),並於底面設置有排氣扇單 元。排氣扇單元係連接於具有除害裝置之工薇排氣糸 統,而於FFU與排氣扇單元之間則會形成清潔空氣的 下降氣流。 载置模組15的前面侧處分別配置有經由晶圓搬出 10 201126633 入口來載置收納有複數片(例如25片)晶圓W的運适容 器(晶圓傳送盒;Front Opening Unified Pod)之晶圓傳堤 盒载置台22a〜22c。又,載置模组15長度方向的端部(圖 1中的右側面部)處係配置有對從晶圓傳送盒载置4 22a〜22c被搬入至載置模組15内的晶圓w之位置進^ 預對位之對準器(ORT)(未圖示)。 订 裝載模组14a、14b係介設於載置模組15的背面部 ¢) 與轉移模組12之間。裝載模組14a、14b與轉移模組12 的連結部分分別設置有閘閥G卜G2。裝賴組14a、 14b係具有用以載置晶圓W的晶圓載置台23a、23b, ,可將5亥裝載模組14a、14b内的壓力切換於特定真空 風圍與常壓氛圍(例如氮氣所形成之常壓氛圍)之間之結 構。 裝載模組14a、14b分為置入專用的裝載模組Ha 與f夕出專用的裝载模組14b。置入專用的裝載模組14a 錢結於魅模組15,而㈣等賴料設置有閘閥 G3“另—方面,移出專用的裝載模組14b並未連結於載 f板組15,而係連結於迂迴路徑24。移出專用的裝载 ,、、·且l4b與迂迴路徑24的連接部分則設置有閘閥G4。 f出專用的裝载模組14b設置有用以冷卻處理結束的 晶圓W之冷卻台。冷卻台係形成有供冷媒流通之流道。 •載置模紐 15長度方向的端面壁内建有儲存室(吹淨 儲存至26)。該吹淨儲存室26係藉由使處理結束的晶圓 W接觸於常麗氛圍來使所生成之腐錄氣體飛散。 11 201126633 如圖2所示’吹淨錯存室26與迁财徑24的連接 部分形成有搬人D 32,吹淨儲存室26與載置模也15 的連接料則形成有搬出口 33。為了能夠將收納在上 下方向的複數個晶圓w搬出人至岐架31,搬入 及搬出口 33係形成為縱長形。該縱長形搬人口及 口係,置有可使吹淨館存室26的内部為氣密狀態之閑 闕ϋ儲存室26 W壁部處實施有Te 塗佈1軸輕等之表_理,或耐祕㈣=定) 等之^鱗策。又’吹淨儲存室26的底面連接有作 為排氣裝置之排氣扇單元3 4 ,排氣扇單元3 4係連接於 m害裝置之工廠排氣系統。吹淨儲存室26係將從 由《口 33而流人之清潔空氣,透過 排乳扇卓疋34來排出至工廠排氣系統。此外,吹淨儲 n㈣部亦π設钱扇細難所組合 (閑’而於吹淨儲存室如頂部的FRj 與排=:元34之間形成有清潔空氣的下降氣流。 個曰=/门室—2力6的内部配置有沿上下方向收納複數 個晶圓W之固定架31。固 收納晶圓W之複數個收納部域有沿上下方向 如吹淨儲存室26的底^以31係由鋪設於例 複數根支桎、及分別沿著複;;=該基台直立設置, 特定間隔而配列之支撐部所構:。柱的上下方向間隔者 迂迴路徑24為將處 。
Mb搬送至吹淨儲存室% :束的晶圓W從裝載模組 <移出專用的房間,並連接 12 201126633
於移出專用的裝載模組14b及吹淨儲存室26。迁迴路 徑24與移出專用的裝載模組14b之連結部分係形成有 水平方向為細長狹縫狀的搬入口 39,該搬入口 39係藉 由上述開闕G'4而開閉。迂迴路徑24與吹淨儲存室% 的連接部分形成有搬出口(吹淨儲存室26的搬入口 32) ’该搬出口係藉由閘閥(未圖示)而開閉。迂迴路徑 24的壁部處貫施有Tefl〇n(註冊商標)塗佈、耐酸鋁處理 等之表面處理,或耐蝕性材料的選定等之防腐蝕對策。 迂迴路徑24的内部係藉由大氣而維持於接近常壓的壓 力。此外,亦可於迂迴路徑24設置有風扇過濾器單元 (FFU)及排氣屬單元,來使正常空氣以特定的風速流通。 迁迴路徑24係配置有將處理結束的晶圓w從移出 專用的裝载模組14b搬送至吹淨儲存室26之副搬送單 兀36。該副搬送單元%係具有:可將晶圓冒上下移動 之上下驅動轴36a,以及設置於上下驅動袖36a的上端 部二並可水平旋轉且可向半徑方向或水平方向伸縮之多 ,希型臂搬送機構36b。該臂搬送機構36b的晶圓保持 ^係形成為例如又狀,而可支撐日日日® W下表面的周緣 队基板處理裝置11係具有控制部。控制部由例如電 囟'f,成係藉由電腦程式來控制主搬送單元18、副 =迗、閘閥G1〜G4等之動作序列與於製程模組 儲ί在例 1進行之真空處理的序列等。料,該程式係 ,J如硬碟、軟碟、光碟、磁光碟(Μ〇)、記憶卡 13 201126633 等之記憶媒體,而自該等記憶媒體被下載至控制部。 接下來,針對上述基板處理裝置n的動作加以說 明。首先,當從外部收納有晶圓w之晶圓傳送盒被载 置於例如晶圓傳送盒載置台22a後,卸下晶圓傳送盒的 蓋體並經由晶圓搬出入口來藉由主搬送單元18來將未 處理的晶圓w取出並搬入至載置模組15内。然後未處 理的晶圓W係通過載置模組15内而被搬送至對準器处 並於該對準器進行晶圓W位置的對位。接著,藉由°主 搬送單元18來將晶圓w從對準器取出,該晶圓"|係 經由載置模組15内而被搬送至置人專㈣裝载模被 14a。 、、'、 ^接著,將未處理的晶圓W載置於置入專用之裝載 模,14a的晶圓載置台23a後,將裝載模組14a内從常 ,虱圍切換至真空氛圍。利用搬送臂單元17來將裝載 模組14a内的未處理晶圓w取出,並搬入至轉移模組 12内。然後未處理的晶圓w係通過轉移模組以内而被 搬送至例如製程模組13a,並於該製程模組13a實施電 漿處理(例如银刻處理)。 晶圓的處理結束後,利用搬送臂單元17來將處理 結束的晶圓W從製程模組13a取出,該晶圓w係通過 轉移模組12而被搬送至移出專用的裝載模組。接 著,從非活性氣體供給源(未圖示)來將例如氮氣灌入裝 ,模,14b内,並將裝載模組14b内從真空氛圍切換^ 节壓汛圍。由於裝載模組14b的内部充滿氮氣,故被回 14 201126633 =常壓的裝賴組i4b心會產 ,=開閘閥G4’並藉由副搬送單元36來將= 里録束 ,從移出專用㈣裁模組⑽取^搬送至吹 淨储存室26。 Ο
Q 參照圖2,針對藉由_送單元36來將處理結束 的晶H W從移出專用的裝载模組隱搬送至吹淨儲存 室26的樣態加以說明。處理結束的晶圓W係於移出專 用的I載核組Ub内’被支擇於自冷卻台僅微微升起之 升降銷(lift pin)。迁迴路徑24内的副搬送單幻6係使 臂搬送機構36b的晶圓保持部向半徑方向伸長,來使晶 圓,持部插人至升降銷所支撐之晶圓W的下侧。之後, 上昇晶圓保持部,並從裝載模_升降銷收取晶圓w。 接下來,副搬送單元36係將晶圓W吸引入迂迴路徑24 内並使臂搬送機構36b水平旋轉而朝向吹淨儲存室 26的方向。接下來,副搬送單元%會驅 =^臂__上昇或下_^ = 。度位準。在副搬送單元36使臂搬送機構3沾 ^下移動的期間打開吹淨儲存室26之搬入口 &的閘 ’副搬送單元36會使臂搬送機構36b向半i ° 2長’來將晶圓w傳遞至特定高度位準的收納部二 接下來,針對吹淨儲存室26之晶圓w的處理加以 '、处。吹淨儲存室26 排氣,排 34而經常地 使截署^八負並通過吹淨儲存室26的搬出口 33來 杲組15内的大氣流入。此處,當吹淨儲存室% 15 201126633 的頂部裝設有風扇過濾哭 室26的頂部、泣A。曰〜… 時,則大氣會從吹淨儲存 例如填化梦、^化^圓^會因電漿韻刻處理而附著有 產生溴化氫4等會與A氣中的水分反應而 /天化風虱體或氣化氬翕體, 大氣中的微量氨反_ 該臭化氫氣體會與 許應產生漠化_微粒子。腐驢廣 體(漠化氫氣體)與上述微粒 子腐雜乳
屬單元34被排出。為τ此氣流而從排氣 排出為了防止在吹淨儲存室26之曰圓w 的處理中,腐蝕性氣體流㈣ 二:曰曰® W 15,除了日m w、s 由衣戰模組l4b或載置模組 除了曰曰圓w通過時以外,搬入口 3 的閘閥皆為關閉狀態,以维持吹 狀態。 、隹符人乎储存室26内的氣密 W長:二:二二去除生成物’必須盡可能地將晶圓 & S在人淨儲存室26的常壓氛圍中。固定架 31的收納部的個數係依將晶圓W置放在切儲存室26 中的時間而決定。收納部的個數愈多,則將晶圓w置 玫在吹淨儲存室26中的時間愈長。 主搬送單元18係使藉由儲存室26而去除生成物之 晶圓w回到例如晶圓傳送盒載置台22a上的晶圓傳送 盒内,且更進一步地從例如該晶圓傳送盒收取下一個晶 圓W並搬送至裝載模組14a。 依據上述的實施形態,來將利用電漿而進行蝕刻等 處理後的晶圓w從移出專用的裝載模組14b經由迂迴 路徑24搬送至吹淨儲存室26。然後,於吹淨儲存室26 内將處理結束的晶圓W放置在常壓氛圍下,並使處理 16 201126633 ^束的晶圓w與大氣成分反應來使腐蝕性氣體飛散 ^ ’再將該晶圓W搬送至載置模組15内。由於產生腐 蝕性氣體之晶圓W不會被搬送至載置模組15,故不需 對載置模組15内施予大規模防腐蝕對 厂通過時以外皆將吹淨儲存室26的閑闊 防止腐餘性氣體從吹淨儲存室26洩漏至載置模紐15。 $时並且,迂迴路徑24的副搬送單元36亦會分擔主搬 =早兀18的作業,故可使副搬送單元36與主搬送單元 _亚行地動作,從而可提高產能。亦即,在副搬送單 椒$將處理結束的晶圓冒從移出專用的裝載模組14b 至吹淨儲存室26的當中,主搬送單元18便可將處 結束的晶圓W從吹淨儲存室20搬送至晶圓傳送盒 台。 一―再者,當於製程模組1;3a〜13d所進行之製程時間不 定,而使得從製程模組將晶圓w搬出至移 出專用的裝載模組14b的時間有所偏差時,可使吹淨儲 存室26作為製程時間的緩衝而發揮作用,並可無關於 製程時間的不一定來使主搬送單元18週期性地動作。 圖3係顯示本發明第2實施形態之基板處理裝置的 概略立體圖。該第2實形態之基板處理裝置中,迂迴路 徑41、配置於迂迴路徑41之副搬送單元44及吹淨儲 存室42的結構與第〗實施形態之基板處理裝置相異。 由於載置模組I5、裝載模組14a、14b及轉移模組12 的結構與第1實施形態之基板處理裝置相同,故賦予相 17 201126633 同符號而省略其說明。 此實施形態中,吹淨儲存室42之搬出π 4 係於水平方向㈣成為長狹縫狀,以使吹淨 / 被腐錄氣體污染之空氣盡可能地不會從载= 流出。基於上述相同理由,吹淨儲存室42之、、 ^口㈣於水平方向而形成為長狹縫狀。用 二 #儲存室的搬入π 46及搬出σ 45之閘閥係形=人 縫狀開口相對應之密封面。 有與狹 配置於迂迴路經41之副搬送單元44中, 施形態之基板處縣置U相異地絲設使 沿上下方向移動之上下驅動軸。將之取而代之,::: 吹甲储存室42設置有使複數個晶圓W上昇及下降之美 板可動機構48。基板可動機構48係具有沿上下方向二 納複數個晶圓w之晶圓g金47,與使該晶圓g盒47 上昇及下降之晶隸盒驅動轴。晶随盒47係於上下 方向形成有複數個晶圓收納部。晶圓£盒47的晶圓收 容部可從迂迴路徑41侧及載置模組15側的2個方向存 取晶圓。此外,該實施形態的副搬送單元44係能夠從 裝載模組14b收取處理結束的晶圓%之程度而使臂搬 送機構上下移動。 副搬送單元44與吹淨儲存室42的基板可動機構 48之間之晶圓W的收授如下所述。首先,基板可動機 構48係使晶圓匣盒47的收納部上昇或下降至吹 室42之搬入口 46的高度。於此期間,副搬送單元料 18 201126633 係從移出專用的裝載模組14b收取晶圓W,並使所收取 之晶圓W通過搬入口 46而收納於晶圓匣盒47的收納 部。 吹淨儲存室42的基板可動機構48與主搬送單元 18之間之晶圓W的收授如下所述。首先,基板可動機 構48係使晶圓匣盒47的收納部上昇或下降至吹淨儲存 室42之搬出口 45的高度。於此期簡,主搬送單元18 係進行從晶圓匣盒47的收納部收取晶圓W的動作,並 使所收取之晶圓W通過搬出口 45而搬送至載置模組15 内。 依據本實施形態之基板處理裝置,由於可敢行地進 行利用副搬送單元44或主搬送單元18來將晶圓w取 出或置入吹淨蟀存室42之動作,與利用基板可動機構 48來將晶圓匣盒上昇或下降之動作,故可提高產能。 圖4係顯示本發明第3實施形態之基板處理裝置的 概略立體圖。本實施形態之吹淨儲存室52亦設置有使 〇 複數個晶圓W降下之基板可動機構53。吹淨儲存室幻 係於對應於最上部的晶圊w之位置處形成有狹縫狀搬 入口 54,而於對應於最下部的晶圓w之位置處形 狹縫狀搬出口 55。 知如圖5之吹淨儲存室52的平面圖所示,基板可動 機構53係由沿晶圓w的圓周方向間隔9〇度的相等間 隔所配置之4個環帶單元53a〜53d所構成。4個環帶單 元53a〜53d係間隔地配置,以便能夠利用副搬送單元 19 201126633 44及主搬送單元18來進行晶圓w的取出或置入。 如圖6之環帶單元的立體圊所示,各環帶單元 53a〜53d係具有無接頭的環帶56、相隔有間隔而一體地 的裝設於該環帶56之御狀支撐部57、及將無接頭的環 帶56架起之複數個環帶車58。櫛狀支撐部57係朝晶 圓W中心突出,而於其前端部支撐晶圓w。如圖7所 示,櫛狀支撐部57的前端部處裝設有合成橡膠 (elastomer)等晶圓接觸部59。藉由晶圓接觸部59與晶 圓W之間作用的摩擦來支撐晶圓w。環帶56的材質係 使用具耐蝕性之樹脂材料。藉由將環帶車58往一方向 迴轉驅動,來使環帶56循環,如此則可使複數個支撐 部57所支撐之複數個晶圓w降下,又,使移動至環帶 56下端之支撐部57向内側迴轉,而回到環帶56的上 端。 相較於如第2實施形態般使得晶圓匣盒47上下移 動的情況’依據本實施形態之基板處理裴置則可使吹淨 儲存室52的高度大大地降低。又,由於可使被搬入至 吹淨儲存室52上部之晶圓W降下,並從吹淨儲存室52 下部搬出’故可將吹淨儲存室52的内部環境保持於一 定。 圖8係顯示使吹淨儲存室52之晶圓w的移動方向 與清潔空氣的流動方向互相對向之範例。該範例中,係 將晶圓W搬入至吹淨儲存室52的下端部,並如圖中之 白色中空的箭頭(1)所示般地使複數個晶圓…從下朝上 20 201126633
地慢慢上昇,則使儲存室52 _清潔空 氣如圖中之箭頭(2)所示般地從均下流動。亦即複^片 晶圓W的移動方向⑴與清潔空氣的流動方向⑺為相 ,。依據該範例,隨著晶,W的上昇,則吹淨儲存室 内的環境會慢慢地越來越良好,財使未混有腐触 =體的清潔空氣流過從儲存室52被搬出瞬間的晶圓 離。故可使從吹淨儲存室52被搬出之晶圓W為清潔狀 此外’本發明之基板處理裝置不限於上述實施形態 範例,可在不改變本發明要旨之範圍内做各種變化。 F 如為了更加提高產能,亦可設置2個置入專用的 "、、級,來從裝載模組向轉移模組收授2片晶圓。再 側配ΐI提高產能,亦可在2個置人專用的裝载模組兩 a 2個移出專用的装载模組、迂迴路徑與吹淨儲
祐於、电仗秒四寻用的裝载模組連結於载置模組, 、,結部分配置有_1未使用容易在製程處理室 ^性氣體的氣體種類時’則亦可打開閘閥,而從 組回到^㈣模組來使處理結束的晶®經由載置模 』曰曰圓傳送盒。 =者’亦可將用以冷卻處理結束的晶圓之冷卻空氣 處理2路#。如此則可縮短於移出專用的裝載模纪將 =束的晶圓冷卻之時間,從而可提高產能。 再者,於儲存室將所生成之生成物自處理結束的基 21 201126633 板去除時,亦可添加能夠促進儲存室内之大氣的吹淨之 氣體(例如蒸氣)。又,亦可在非大氣下,而係於非活性 氣體(例如氮)氛圍下進行吹淨。此情況下,並非與大氣 反應,而係藉由吹淨所花費的時間來進行處理的調整。 除了大氣或非活性氣體以外,亦可使用氮與氧的混合氣 體等接近大氣之氣體的成分。儲存室内的壓力只要高於 真空處理室的壓力即可,不限於常壓。 本發明之基板處理裝置不限對半導體晶圓進行真 空處理,而亦可處理液晶用基板、有機EL元件等基板。 【圖式簡單說明】 圖1為本發明第1實施形態之基板處理裝置的概略 平面圖。 圖2為上述基板處理裝置的概略立體圖。 圖3為本發明第2實施形態之基板處理裝置的概略 立體圖。 圖4為本發明第3實施形態之基板處理裝置的概略 立體圖。 圖5為本發明第3實施形態之基板處理裝置的吹淨 儲存室之概略平面圖。 圖6為支撐有晶圓環帶單元之立體圖。 圖7為顯示環帶單元之支撐部的前端部之剖面圖。 圖8為顯示清潔空氣的流動方向與晶圓的移動方 向相反的範例之吹淨儲存室的概略剖面圖。 22 201126633 圖9為習知基板處理裝置的概略平面圖。 【主要元件符號說明】 1 晶圓傳送盒載置台 2 轉移模組 3 製程模組 4 載置模組 5 搬送臂 6a、6b 裝載模組 7 吹淨儲存室 11 基板處理裝置 12 轉移模組 13a~13d 製程模組(減壓處理室) 14a 置入專用的裝載模組(裝载室) 14b 移出專用的裝載模組(裝載室) 15 載置模組(常壓搬送室) 16 晶圓載置台 17 搬送臂單元 18 主搬送單元 19 導引軌道 20 旋轉台 21多關節型臂 22a〜22c 晶圓傳送盒載置台(載置台) 23a > 23b 晶圓載置台 23 201126633 24、41 迂迴路徑 26、42、52 吹淨儲存室(儲存室) 31 固定架 32、 46、54 吹淨儲存室的搬入口 33、 45、55 吹淨儲存室的搬出口 34 排氣扇單元(排氣裝置) 36、44 副搬送單元 36a 副搬送單元的上下驅動軸 36b 臂搬送機構 39 搬入口 47 晶圓匣盒 48、53 基板可動機構 53a〜53d 環帶單元 56 環帶 57 支撐部 58 環帶車 59 晶圓接觸部 W 晶圓 G1〜G4 閘閥 24

Claims (1)

  1. 201126633 七、申請專利範圍: 1' —種基板處理裝置,具有: 載置台’係載置有收納複數個基板之運送容 器; 減壓處理室’係在減壓氛圍下對基板施予處 理; 嚴載室’係與該減壓處理室之間進行基板的收 Ο 授’並可切換於減壓氛圍與常壓氛圍; 大氣搬送室’係具有在常壓氛圍中將收納於該 運送容器之基板從該載置台搬送至該裝載室的主 搬送單元;及 儲存室’係將處理結束的基板維持在較該減壓 氣圍要南壓力之氛圍中; 其特徵在於: 設置有用以將處理結束的基板從該裝載室不 會通過該常壓搬送室地搬送至該儲存室之迁迴路 〇 徑; 該迂迴路徑係配置有將處理結束的基板從該 裝載室搬送至該儲存室的副搬送單元; 藉由該常壓搬送室的該主搬送單元來將處理 結束的基板從該儲存室搬送至該載置台的該運送 容器。 2.如申請專利範圍第1項之基板處理裝置,其中該裝 載室係設置有將基板傳遞至該減壓處理室之置入 25 201126633 專用的装載室’與從該減壓處理室收取基 專用的裝載室; .該常廢搬送室的該主搬送單元係將收納於該 載置台的該運送容器之未處理基板搬 專用的裝載室; 錢入 該迂迴路徑的該副搬送單元係將處理結束的 基板從該移出專用的裝載室搬送至該儲存室。 3. 如申請專利範圍第1或2項所記载之基板處理裝 置,其中該儲存室係設置有供處理結束的基板自該 迂迴路徑搬入之搬入口,及供處理結朿的基板搬 至該常壓搬送室之搬出口; 該儲存室之該搬入口及該搬出口係設置有用 以開閉§亥荨搬入口及搬出口之閘閥。 4. 如申請專利範圍第1或2項所記載之基板處理裝 置,其中該儲存室係設置有固定架,該固定架係具 有沿上下方向收納複數個處理結束的基板之複數 個收納部; 該副搬送單元係具有上下驅動軸,該上下驅動 轴係使處理結束的基板上下移動以便能將處理結 束的基板收納於上下方向高度相異的收納部。 5.如申睛專利範圍第1或2項所記載之基板處理裝 置,其中該儲存室係具有沿上下方向收納複數個處 理結束的基板之複數個收納部,並設置有使收納於 複數個收納部之複數個基板上昇及/或下降之基板 26 201126633 6· Ο 可動機構; 該副搬送機構係將處理結束的基板傳遞至上 昇或下降至特定高度之基板可動機構的收納部。 如申阳專利範圍第5項所記載之基板處理裝置,其 中該儲存室的該基板可動機構具有:無接頭的環 帶、相隔特定間隔地裝設於該環帶而用以支撐複數 個基板之複數個支撐部、及懸掛有該環帶而用以 該環帶循環之環帶車; 藉由使該環帶循環,來使該複數個支撐部沿上 下方向移動,並使移動至上下方向的一端之保‘部 回到上下方向的另一端
    如申請專利_第5項所記敎基板處縣置,复 十該儲存室的下部係設置有使該儲存室内 肖、 排出之排氣裝置,以使大氣從該儲存室的上部流= ❹ 8. 該儲存室的該基板可動機構係將複數個處理 結束的基板從贿存室的下部朝向上部上昇。 一種基板處理方法,係具有以下步驟: 室的主㈣單元來將收納於载 口的運达各器之未處理基板從該載置台搬 常壓氛圍的舉載室之步驟; .、至 將未處理基板從該裝載室搬送至減麼處理 室,亚於減壓處理室對基板施予處理之步驟; 將處理結束的基板從該減壓處理室搬送至減 27 201126633 壓氛圍的裝載室之步驟; 將該裝載室内的氛圍從減壓氛圍切換至常壓 氛圍之步驟; 將處理結束的基板從該裝載室搬送至儲存室 之步驟; ι 利用該儲存室來使處理結束的基板維持在較 該減壓氛圍要高壓力的氛圍中之步驟; 該藉由該常壓搬送室的主搬送單元來將處理 結束的基板從該儲存室搬送至該載置台的該運送 容器之步驟; ' 其特徵在於係設置有以下步驟: 藉由使處理結束的基板從該裝載室至該儲存 室迂迴前進之迂迴路徑的副搬送單元,來將處理結 束的基板從該裝載室不會通過該常壓搬送室地搬 送至該儲存室之步驟; 藉由該常壓搬送室的該主搬送單元來使處理 結束的基板從該儲存室回到該載置台的該運送容 器之步驟。 9.如申請專利範圍第8項所記載之基板處理方法,其 中利用該儲存室來使處理結束的基板維持在較該 減壓氛圍要高壓力的氛圍中之步驟中,係去除處理 結束的基板與大氣反應所生成的生成物。 28
TW099130797A 2009-09-14 2010-09-13 Substrate processing apparatus and method TWI455231B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009212373A JP5358366B2 (ja) 2009-09-14 2009-09-14 基板処理装置及び方法

Publications (2)

Publication Number Publication Date
TW201126633A true TW201126633A (en) 2011-08-01
TWI455231B TWI455231B (zh) 2014-10-01

Family

ID=43729465

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099130797A TWI455231B (zh) 2009-09-14 2010-09-13 Substrate processing apparatus and method

Country Status (5)

Country Link
US (2) US20110062113A1 (zh)
JP (1) JP5358366B2 (zh)
KR (1) KR101180283B1 (zh)
CN (1) CN102024734B (zh)
TW (1) TWI455231B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI514499B (zh) * 2011-09-30 2015-12-21 Tokyo Electron Ltd Drive device and substrate processing system

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
KR101390900B1 (ko) * 2011-05-31 2014-04-30 세메스 주식회사 기판처리장치
TW201413780A (zh) * 2012-09-24 2014-04-01 Eugene Technology Co Ltd 煙氣移除設備及基板處理設備
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
JP6586328B2 (ja) * 2015-09-04 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
KR102164067B1 (ko) * 2017-09-29 2020-10-12 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치 및 기판 처리 방법
JP7065204B2 (ja) * 2018-11-14 2022-05-11 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
CN113140483A (zh) * 2021-03-03 2021-07-20 上海璞芯科技有限公司 一种晶圆的传片方法和传片平台
CN114849375B (zh) * 2022-04-14 2024-05-03 芯三代半导体科技(苏州)有限公司 一种用于碳化硅外延设备的tm腔的净化装置及设备

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
WO1998039799A1 (fr) * 1997-03-05 1998-09-11 Hitachi, Ltd. Procede de post-traitement pour gravure au plasma
JPH10284568A (ja) 1997-04-04 1998-10-23 Yuasa Seisakusho:Kk 基板処理装置
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
KR100630511B1 (ko) * 1999-09-03 2006-09-29 동경 엘렉트론 주식회사 기판처리장치
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US20020153578A1 (en) * 2001-03-01 2002-10-24 Ravinder Aggarwal Wafer buffering system
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
JP2003115518A (ja) 2001-10-02 2003-04-18 Hitachi Kokusai Electric Inc 基板処理装置
US6896513B2 (en) * 2002-09-12 2005-05-24 Applied Materials, Inc. Large area substrate processing system
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
JP2006128188A (ja) * 2004-10-26 2006-05-18 Nikon Corp 基板搬送装置、基板搬送方法および露光装置
JP4614863B2 (ja) * 2005-10-24 2011-01-19 ソニー株式会社 基板処理装置
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
JP4933789B2 (ja) * 2006-02-13 2012-05-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR100758298B1 (ko) * 2006-03-03 2007-09-12 삼성전자주식회사 기판 처리 장치 및 방법
US7381969B2 (en) * 2006-04-24 2008-06-03 Axcelis Technologies, Inc. Load lock control
US8999103B2 (en) * 2006-08-25 2015-04-07 Tokyo Electron Limited Substrate processing system, substrate processing method and storage medium
JP4961894B2 (ja) * 2006-08-25 2012-06-27 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5207615B2 (ja) * 2006-10-30 2013-06-12 東京エレクトロン株式会社 成膜方法および基板処理装置
JP4816545B2 (ja) * 2007-03-30 2011-11-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP4959457B2 (ja) * 2007-07-26 2012-06-20 東京エレクトロン株式会社 基板搬送モジュール及び基板処理システム
CN101477960A (zh) * 2008-01-03 2009-07-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体晶片的传输装置以及传输方法
JP5476171B2 (ja) * 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI514499B (zh) * 2011-09-30 2015-12-21 Tokyo Electron Ltd Drive device and substrate processing system

Also Published As

Publication number Publication date
US20140044505A1 (en) 2014-02-13
CN102024734A (zh) 2011-04-20
TWI455231B (zh) 2014-10-01
US20110062113A1 (en) 2011-03-17
US9209055B2 (en) 2015-12-08
JP5358366B2 (ja) 2013-12-04
CN102024734B (zh) 2013-01-02
JP2011061160A (ja) 2011-03-24
KR20110029079A (ko) 2011-03-22
KR101180283B1 (ko) 2012-09-06

Similar Documents

Publication Publication Date Title
TW201126633A (en) Substrate processing apparatus and method
TWI839398B (zh) 用於處理基材之基材處理設備
US10290521B2 (en) Substrate treating apparatus with parallel gas supply pipes and a gas exhaust pipe
JP6559087B2 (ja) 基板処理装置
US7935185B2 (en) Film forming system and film forming method
JP7210960B2 (ja) 真空処理装置及び基板搬送方法
JP4005609B2 (ja) 基板処理装置及び基板処理方法並びに基板の製造方法
KR20150056063A (ko) 기판 처리 시스템
JP2001060610A (ja) 基板搬送装置、処理装置、基板の処理システム、搬送方法、収納装置および収容ボックス
TW200952113A (en) Transfer mechanism for target item for processing, and processing system for target item for processing
JP2009218605A (ja) 基板処理方法および半導体装置の製造方法
JP2002043391A (ja) 半導体製造装置
JP2008109158A (ja) 基板処理装置、基板処理方法、基板の製造方法及び電子機器
KR100930823B1 (ko) 습식세정장치 및 기판처리방법
JP5090291B2 (ja) 基板処理装置
JP4059844B2 (ja) 基板処理装置
JP2008166820A (ja) 基板処理装置、基板処理方法、基板の製造方法及び電子機器
JP2024017881A (ja) 基板処理システム及び基板処理方法
JP2008124502A (ja) 基板処理装置及び基板処理方法及び基板の製造方法及び電子機器
JP2010283178A (ja) 半導体製造装置及び半導体製造方法
JP2002043389A (ja) 基板処理装置
JP2001358192A (ja) 半導体製造設備
JP2008053738A (ja) 基板処理装置及び基板処理方法並びに基板の製造方法
JP2012156569A (ja) 基板処理装置