TW201118949A - Film deposition method, pretreatment device, and treating system - Google Patents

Film deposition method, pretreatment device, and treating system Download PDF

Info

Publication number
TW201118949A
TW201118949A TW099119470A TW99119470A TW201118949A TW 201118949 A TW201118949 A TW 201118949A TW 099119470 A TW099119470 A TW 099119470A TW 99119470 A TW99119470 A TW 99119470A TW 201118949 A TW201118949 A TW 201118949A
Authority
TW
Taiwan
Prior art keywords
film
treatment
insulating layer
gas
hydrophilization
Prior art date
Application number
TW099119470A
Other languages
English (en)
Inventor
Kenji Matsumoto
Hitoshi Itoh
Hidenori Miyoshi
Shigetoshi Hosaka
Hiroshi Sato
Koji Neishi
Junichi Koike
Original Assignee
Tokyo Electron Ltd
Univ Tohoku
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Univ Tohoku filed Critical Tokyo Electron Ltd
Publication of TW201118949A publication Critical patent/TW201118949A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

201118949 六、發明說明: 【發明所屬之技術領域】 本發明係關於:於由具有形成於半導體晶 體表面之凹部的l〇W-k膜所構成之絕緣膜內 Μη之薄膜的成膜技術。 【先前技術】 ~般而言,製造半導體裝置時,對半導體 施成膜處理及圖案蝕刻處理等之各種處理來製 置’然而,在半導體裝置之進一步高積體化及 要求下,線寬及孔徑也更爲微細化。其次,配 入溝槽、孔等凹部內之塡埋材料,因爲必須藉 之微細化來進一步降低電阻,故呈現使用電阻 之銅的傾向(參照日本特開2004-107747號公 ’該配線材料及塡埋材料使用銅時,考慮銅對 散障壁性等,一般係將鉬金屬(Ta )或鉬氮伯 等當做障壁層來使用。 其次,將銅埋入上述凹部內時,首先,在 置內,於包含該凹部內壁面整體在內之晶圓表 成由銅膜所構成之薄種膜,其次,對晶圓表面 銅處理,而使其完全埋入於凹部內。其後, Chemical Mechanical Polishing)處理等進行 除去晶圓表面之多餘銅薄膜。 針對該點,參照第1 3圖進行說明。第1 3 圓等被處理 ,進行含有 晶圓重複實 造期望之裝 高微細化的 線材料及埋 由各種尺寸 極小且便宜 報)。其次 其下層之擴 ;膜(TaN ) 電漿濺鍍裝 面全面,形 整體實施鍍 以 C Μ P ( 硏磨處理來 圖係半導體 -5- 201118949 晶圆凹部之以往的塡埋製程圖。在形成於該半導體晶圓W 之例如由Si02膜所構成之層間絕緣膜等絕緣層1表面, 以 Single Damascene 構造、Dual Damascene 構造、三次 元安裝構造等,來形成對應於通孔、透孔、及溝(溝槽) 等之凹部2,該凹部2之底部,係以例如由銅所構成之下 層之配線層3露出的狀態來形成。 具體而言,該凹部2係由形成爲細長之凹狀剖面之溝 (溝槽)2A、及形成於該溝2A底部之一部分的孔2B所 構成,該孔2B係通孔或透孔。其次,上述配線層3從該 孔2B底部露出,而電性連結於下層配線層或電晶體等元 件。此外,省略了下層配線層及電晶體等元件之圖示。上 述凹部2,隨著設計規則之微細化,其寬度或內徑爲例如 1 2 Onm極小之程度,長寬比爲例如2〜4程度。此外,省 略了擴散防止膜及蝕刻阻擋膜等之圖示,並以單純化形狀 來進行記載。 於該半導體晶圓W之表面,包含上述凹部2內之內 面在內,預先以電漿濺鍍裝置形成大致均一之例如由TaN 膜及Ta膜之層積構造所構成之障壁層4(參照第13(A )圖)。其次,以電漿濺鍍裝置,於包含上述凹部2內之 表面在內之晶圓表面整體,形成當做金屬膜使用而由薄銅 膜所構成之種膜6(參照第13(B)圖)。藉由對上述晶 圓表面實施鍍銅處理’將例如由銅膜所構成之金屬膜8埋 入上述凹部2內(參照第13(C)圖)。其後,利用上述 CMP處理等進行硏磨處理,除去上述晶圓表面之多餘的 201118949 金屬膜8、種膜6及障壁層4。 其次,以進一步提升上述障壁層之 行了各種開發,其中,又以使用Μη膜 取代上述Ta膜或TaN膜之自己形成障 照日本特開2005-277390號公報)。該 金膜,係以濺鍍實施成膜,更以該Μη 本身做爲種膜,所以,可以於其上方直 ’電鍍後,實施退火可以自己整合ft Si〇2層產生反應,因爲於該Si02層與 金膜之境界部分,形成有MnSixOy(x 、或Μη與Si 02層之氧進行反應所產住 (X :任意正數)膜的障壁膜,而具有 之優點。此外,錳氧化物,依據Μ η之 、Μη304、Μη2〇3、Μη〇2 等之種類,然 將其統稱記述成MnOx。另外,針對利 以針對微細線寬及孔徑以良好段差1 CVD法來實施MuSixOy膜或MnOx膜 討(參照日本特開2008-013848號公報 然而,最近,在半導體裝置之更高 要求層間絕緣膜之介電常數更爲降低, 討使用介電常數較低之材料,例如,以 基之SiOC、SiCOH等所構成之Low-k 所形成之矽氧化膜的層間絕緣膜材舉 TEOS所形成之矽氧化膜的介電常數爲
信賴性爲目標而進 或CuMn合金膜來 壁層受到矚目(參 Μη膜或CuMn合 膜或CuMn合金膜 接形成Cu電鍍層 匕與下層絕緣膜之 Μη膜或CuMn合 、y :任意正數)膜 ί之錳氧化物MnOx 可減少製造製程數 價數而存在著MnO 而’本說明書中, 用相較於濺鍍法可 ί盖性來堆積膜之 之成膜,也進行檢 )0 速動作的要求下, 在上述要求下,檢 由含有甲基等有機 膜來取代以TE()S +。此處,由上述 4 · 1程度,而S i 0 C 201118949 之介電常:數爲3.0程度。然而’採用L〇w-k膜作爲層間絕 緣膜使用時,即使以CVD法於包含該凹部內之露出面在 內之介電常數較低之層間絕緣膜表面實施含Μη膜之成膜 處理,Μ η Ο X膜幾乎不會堆積,而有無法形成障壁層之問 題。 【發明內容】 本發明係提供可以有效率地於由Low-k膜所構成之絕 緣層表面形成例如MnOx膜之含有Μη之薄膜的成膜方法 、前處理裝置及處理系統。 本發明者等針對於由Low-k膜所構成之絕緣層表面堆 積MnOx之方法進行審慎硏究,結果,發現於實施MnOx 成膜處理前,實施使絕緣層表面曝露於電漿等親水化處理 可以使絕緣層具有良好濕潤性,而可以有效地實施MnOx 薄膜堆積,才完成本發明。 本發明之第1觀點提供一種成膜方法,係於表面形成 著由具有凹部之low-k膜所構成之絕緣層的被處理體,形 成含有Μη之薄膜的成膜方法,具有:於前述絕緣層表面 實施親水化處理使其成爲親水性表面之親水化製程;及於 經過前述親水化處理之前述絕緣層表面實施使用含Μη原 料之成膜處理來形成含有Μη之薄膜的薄膜形成製程。 本發明之第2觀點提供一種成膜方法,係於表面形成 著由具有凹部之low-k膜所構成之絕緣層的被處理體,形 成含有Μη之薄膜的成膜方法,具有:於前述絕緣層表面 -8 - 201118949 實施親水化處理使其成爲親水性表面之親水化製程;及於 經過前述親水化處理之前述絕緣層表面實施使用含Μη原 料氣體之成膜處理來形成含有Μ η之薄膜的薄膜形成製程 〇 如上面所述,於含有 Μη之薄膜的成膜前,實施 L〇w-k膜表面之親水化,可以有效地形成例如Μη Οχ膜之 含有Μη的薄膜。 前述親水化處理,可以爲針對前述絕緣層實施電漿處 理。該電漿處理’可以使用含氧氣體及/或稀有氣體。 前述親水化處理,可以爲實施利用紫外線及含氧氣體 來進行前述絕緣層之表面改質的紫外線臭氧處理。 前述親水化處理,亦可以爲對前述絕緣層表面照射氣 體集團離子束來實施GCIB處理。 前述親水化處理,亦可以爲對前述絕緣層表面照射波 長爲425nm之可見光來實施可見光照射處理。 於前述薄膜形成製程前,可以高於前述薄膜形成製程 之處理溫度的溫度進行前述絕緣層之退火處理來實施前述 絕緣層中之脫水的退火製程。 於前述薄膜形成製程前,亦可以實施使水及/或含氧 氣體附著於前述絕緣層表面之附著處理的附著製程。 前述low-k膜係定義成由介電常數小於4.〗之介電常 數材料所構成之膜。前述l〇W-k膜可以由選自由SiOC膜 、Si〇 膜、SiOF 膜、SiC 膜、SiCOH 膜、SiCN 膜、多孔 砂膜、多孔甲基倍半矽氧烷膜、聚丙炔膜、SiLK(日本登 201118949 錄商標)膜、以及氟碳膜所構成之群組之1種以上的膜所 構成。 前述含Μη原料,可以爲選自由Cp2Mn[ = Mn(C5H5)2] 、(MeCp)2Mn[ = Mn(CH3C5H4)2]、 (EtCp)2Mn[ = Mn(C2H5C5H4)2]、 (i-PrCp)2Mn[ = Mn(C3H7C5H4)2]、
MeCpMn(CO)3[ = (CH3C5H4)Mn(CO)3]、 (t-BuCp)2Mn[ = Mn(C4H9C5H4)2]、CH3Mn(CO)5、
Mn(DPM)3[ = Mn(Ci !Hi9〇2)3] '
Ma(DMPD)(EtCp)[ = Mn(C7H11C2H5C5H4)]'
Mn(acac)2 [ = Mn(C5H7〇2)2] ' Μn(DPM)2 [ = Μn(C ι ι Η 19O2)2] ' Mn(acac)3[ = Mn(C5H7〇2)3]、Mn(hfac)2[ = Mn(C5HF602)3]、 ((CH3)5Cp)2Mn[ = Mn((CH3)5C5H4)2]、 [Mn(iPr-AMD)2][ = Mn(C3H7NC(CH3)NC3H7)2]、 [Mn(tBu-AMD)2][ = Mn(C4H9NC(CH3)NC4H9)2]所構成之群 組之1種以上之材料。 本發明之第3觀點提供一種前處理裝置 > 係用以實施 於表面形成著由具有凹部之low-k膜所構成之絕緣層的被 處理體形成含有Μη之薄膜之前進行的前處理之前處理裝 置,具備:可進行排氣之處理容器;配設於前述處理容器 內之以載置前述被處理體爲目的之載置台構造;對前述被 處理體進行加熱之加熱手段;將氣體導入前述處理容器內 之氣體導入手段;可對前述氣體導入手段供應稀有氣體、 水蒸氣及含氧氣體之氣體供應手段;對前述絕緣層表面實 -10- 201118949 施親水化之親水化手段;以及控制裝置整體,對前述被處 理體實施退火處理、附著處理及親水化處理之裝置控制部 0 前述親水化手段可以爲電漿發生手段、紫外線照射手 段、GCIB處理手段、以及波長爲42 5nm之可見光照射手 段當中之任一。 本發明之第4觀點提供一種處理系統,係用以於表面 形成著由具有凹部之l〇w-k膜所構成之絕緣層的被處理體 ,形成含有Μη之薄膜的處理系統,具備:具有將前述被 處理體搬運至內部之搬運機構的共用搬運室;連結於前述 共用搬運室,用以對前述被處理體實施親水化處理之親水 化處理裝置;連結於前述共用搬運室,用以對前述被處理 體實施形成含有Μη之薄膜之成膜處理的成膜處理裝置; 以及用以控制處理系統整體動作的系統控制部。 前述親水化處理裝置可以爲電漿處理裝置、紫外線照 射處理裝置、氣體集團離子束照射處理裝置、以及照射波 長爲425nm之可見光的可見光照射處理裝置當中之任一 種處理裝置。 前述共用搬運室,可以連結著用以對前述被處理體實 施退火處理之退火處理裝置。 前述共用搬運室,亦可連結著用以實施使水及/或含 氧氣體附著於前述被處理體之附著處理的附著處理裝置。 本發明之第5觀點提供一種處理系統,係於表面形成 著由具有凹部之low-k膜所構成之絕緣層的被處理體,形 -11 - 201118949 成含有Μη之薄膜的處理系統,具有:具有用於將 處理體搬運至內部之搬運機構的共用搬運室;連結 共用搬運室之前述前處理裝置;連結於前述共用搬 用以對前述被處理體實施形成含有Μη之薄膜之成 的成膜處理裝置;以及用以控制處理系統整體動作 控制部。 【實施方式】 以下,參照圖式,針對本發明之成膜方法、前 置及處理系統之一實施例進行詳細說明。第1圖係 施本發明方法之處理系統之一例的槪略構成圖,第 可以實施親水化處理及退火處理及附著處理之3種 前處理裝置的槪略剖面圖,第3圖係實施錳氧化物 成膜裝眞的槪略剖面圖,第4圖係用於說明本發明 方法整體之整體說明圖,第5圖係本發明之成膜方 1實施例的.流程圖,第6圖係本發明之成膜方法之 施例的流程圖,第7圖係本發明之成膜方法之第3 的流程圖,第8圖係絕緣層表面經過親水化處理時 形態的例圖。 如第1圖所示,本發明之處理系統1 〇,具有
I 備用以搬運被處理體之半導體晶圓W之搬運機構 用搬運室14。該共用搬運室14,內部可進行真空 通常運用時,係處於減壓環境。上述搬運機構12 於保持晶圓W之2個撿取部1 2 A、1 2Β,可以進行 前述被 於前述 運室之 膜處理 之系統 處理裝 用於實 2圖係 處理之 成膜之 之成膜 法之第 第2實 實施例 之變化 內部具 12的共 排氣, 具有用 旋轉及 -12- 201118949 伸縮,如後面所述,可以對各種處理裝置或預載室進 圓W之搬入·搬出。 圖示例時,上述共用搬運室14係成形爲六角形 介由相對於該六角形內相鄰2邊可開關之閘閥G連 預載室16、18。該預載室16、18,可以進行真空排 恢復大氣壓,晶圓W之搬入、搬出時,可以交錯重 處於大氣壓環境及真空環境,並可在維持共用搬運1 內之真空狀態下進行晶圓W之搬出入。其次,於各 室1 6、1 8內部,分別配設著用以暫時支撐晶圓W之 台20。 另外,於上述各預載室1 6、1 8之相反側,介由 G連結著橫長之箱狀負載室22。於該負載室22之長 向的一邊,安裝著複數之卡匣台24,於其上可以載 收容複數片晶圓W之卡匣2 6。其次,於安裝著各卡| 之負載室22的側壁,配設著可開關之閘門27,打開 門27,可以於與負載室22內部之間進行晶圓W之搬 〇 另外,於該負載室2 2內,配設著可沿著其長度 移動之搬運臂28。該搬運臂28具有可旋轉及伸縮之 撿取部2 8 A、2 8 B,該撿取部2 8 A、2 8 B可以保持晶 並進行搬運。另外,於上述橫長之負載室2 2的一端 設著可進行晶圓W之位置校準及方向校準的導引器3 此處,針對晶圓W之一連串流程進行說明。首 收容著未處理之晶圓W的卡匣26被載置於配設在負 行晶 狀, 結著 氣及 複地 g 1 4 預載 支撐 閘閥 度方 置可 匣26 該閘 出入 方向 2個 圓 W ,配 0 ° 先, 載室 -13- 201118949 22之任一卡匣台24時,該卡匣26內之晶圓W被搬運 28取入至大氣壓環境之負載室22內。其次,該晶圓w 搬運臂28搬運至導引器30,此處,以形成於晶圓W之 口及定肉平面爲基準來進行位置校準及方向校準。該晶 W再度被搬運臂28搬運至任一方之預載室,例如,被 入預載室16內。 於該預載室16內從大氣壓轉成真空環境後,收容 該預載室16內之晶圓W,由配設於共用搬運室14內之 運機構12受取,並被搬入該共用搬運室14內。其次, 未處理之晶圓W,被上述搬運機構12搬入後述各處理 置內,同時,於各處理裝置實施特定之各處理。其後, 完成處理之晶圓W,介由上述任一方之預載室,例如, 由預載室18,被搬出至負載室22側,並進一步將其收 於用以收容完成處理之晶圓W的特定卡匣26內。 其次,針對連結於上述共用搬運室14之各處理裝 進行說明。第1圖中,分別介由閘閥G連結於六角形 之共用搬運室14之複數,亦即,此處爲4個處理裝置 1 係所謂叢集工具構造。上述4個處理裝置,係對晶圓 實施親水化處理之親水化處理裝置32、對晶圓W實施 火處理之退火處理裝置3 4、使水份等附著於晶圓W之 著處理裝置36、以及對晶圓W形成含有Μη之薄膜之 如MnOx膜的成膜處理裝置38。 此處,用以實施本發明方法之必要處理裝置,係親 化處理裝置32及成膜處理裝置38,其他處理裝置,亦 臂 被 凹 圓 搬 於 搬 該 裝 該 介 容 置 狀 > W 退 附 例 水 即 -14 - 201118949 ,退火處理裝置3 4及附著處理裝置3 6只要視需要來配設 即可。上述親水化處理裝置3 2,係用以對形成於晶圓W 表面之絕緣層表面,實施親水化處理而使其成爲親水性表 面。該親水化處理之方法,可以爲如下所示之4種類,可 以實施任一種親水化處理。 第1親水化處理之內容,係利用含氧氣體及/或稀有 氣體對晶圓w之絕緣層實施電漿處理,此時,親水化處 理裝置32係使用電漿處理裝置,第1圖係使用電漿處理 裝置時。第2親水化處理裝置之內容,係對晶圓W之絕 緣層實施利用紫外線及含氧氣體進行表面改質之表面改質 處理,此時,親水化處理裝置3 2係使用紫外線照射處理 裝置。 第3親水化處理之內容,係實施對晶圓W之絕緣層 表面照射氣體集團離子束之 GCIB ( Gass Cluster Ion Beamn )處理,此時’親水化處理裝置32係使用氣體集 團離子束照射處理裝置。第4親水化處理之內容,係實施 對晶圓W之絕緣層表面照射波長爲4 2 5 nm之可見光的可 見光照射處理,此時,親水化處理裝置3 2係使用可見光 照射處理裝置。此外,波長4 25 n m之可見光(紫色)係 相當於矽與甲基(Si-CH3 )之結合能而可有效地切斷該甲 基之波長。如上面所述,上述親水化處理裝置3 2係使用 上述4種處理裝置之其中任一處理裝置。 另外’上述退火處理裝置34,係將晶圓W加熱至特 定處理溫度’蒸發除去形成於晶圓W之絕緣層中的水份 -15- 201118949 。此時,處理溫度設定成高於上述成膜處理裝置38之處 理溫度的溫度。該退火處理時,應使惰性氣體,例如N2 或Ar、He等稀有氣體流過處理裝置內。另外,上述附著 處理裝置36,係以使水(水蒸氣)及/或含氧氣體附著 來促進晶圓W之絕緣層表面之薄膜堆積。 <前處理裝置> 另外,可以爲將上述3個處理裝置,亦即,將親水化 處理裝置32及退火處理裝置34及附著處理裝置36滙整 成1個前處理裝置之構成。第2圖係此種前處理裝置之剖 面圖。如圖所示,該前處理裝置42具有由鋁合金等所成 形之圓筒體狀處理容器44,將該處理容器44進行接地。 於該處理容器44之側壁配設著搬出入口 46,該搬出入口 46係介由閘閥G連結於共用搬運室14,可用以進行晶圓 W之搬出入。 另外,於該處理容器44之底部形成著排氣口 48,該 排氣口 48則連結著排氣手段50。該排氣手段50具有連 結於上雄排氣口 48之排氣通路5 1,於該排氣通路5 1依 序介設著如蝶形閥之壓力調整閥52及真空泵54,可以對 處理容器44內之環境進行壓力調整且實施真空吸引。另 外,於上述處理容器44之天花板部,配設著例如噴灑頭 56之氣體導入手段,從配設於該氣體噴射面之氣體孔 56A將必要氣體導入處理容器44內。其次,於該噴灑頭 56,連結著用以供應必要氣體之氣體供應手段58。 -16- 201118949 該氣體供應手段5 8具有連結於上述噴灑頭5 6之氣體 入口 56B的氣體通路60。該氣體通路60之上游側,分歧 成複數之分歧路62,各分歧路62之途中,分別介設著如 質流控制器之流量控制器64及開關閥66,可以在流量控 制下供應必要氣體。所以,可以對應需要,分別供應稀有 氣體之Ar、含氧氣體之氧或臭氧、或兩者之混合氣體、 惰性氣體之N 2、水份(水蒸氣、Η 2 Ο)。此外,水份亦可 以利用惰性氣體之起泡法來進行供應。 另外,於處理容器4 4內,配設著用以載置上述晶圓 W之載置台構造68。該載置台構造68係由:立設於容器 底部之支柱70、及配設於該支柱7〇上端部之圓板狀之例 如陶瓷製載置台72所構成。其次’於該載置台72,配設 著例如電阻加熱器7 4之加熱手段’用以將載置於該載置 台7 2上之晶圓W加熱至期望溫度。其次,於該電阻加熱 器7 4,介由供電線7 6連結著加熱器電源7 8,提供必要供 電。 其次,該前處理裝置4 2,具有用以實施晶圓w絕緣 層表面之親水化的親水化手段8 0。具體而言,此處之親 水化手段8 0係配設用以於處理容器4 4內發生電漿之電漿 發生手段82。該電漿發生手段82,具有埋設於上述載置 台72內之上部的下部電極84,該下部電極84則連結著 供電線8 6。其次’該供電線8 6,於途中介由匹配電路8 8 連結著高頻電源9 0 ’對該下部電極8 4與和其相對之上部 電極之噴灑頭5 6間,配合需要,施加高頻電力而於處理 -17- 201118949 空間92產生電漿。該高頻電力之頻率可以使用例如 13.56 MHz,然而,並未限定爲該頻率。 另外,爲了控制該前處理裝置42之整體動作’具有 例如由電腦等所構成之裝置控制部94,該裝置控制部94 具有用以記憶執行動作所必要且可供電腦讀取之程式的記 隱媒體96。該記憶媒體96係由軟碟、CD ( Compact Disc )、硬碟、快閃記憶體或D V D等所構成。 藉此,於該前處理裝置42,可以連續實施上述親水 化處理、退火處理、及附著處理。此外,該前處理裝置 42亦可只實施親水化處理、或實施親水化處理加上退火 處理及附著處理之任一方。另外,此處之電漿發生手段 82係以採用平行平板型(電容耦合型)之電漿發生手段 時爲例來進行說明’然而,亦可取代其而使用電感耦合型 電漿發生手段、螺旋波激發型電漿發生手段、微波激發表 面波電漿發生手段[包含RLS A ( Radial Line Slot Antenna )微波霉發或SPA ( Slot Plane Antenna)電發]、電子迴 旋共振電漿發生手段、或遠距電漿發生手段等來取代。 <成膜處理裝置> 其參’同時參照第3圖,針對成膜處理裝置38之槪 略構成進行說明。該成膜處理裝置38,如前面所述,係 利用a Mn原料氣體(以下,亦稱爲「Μη原料氣體」) 於晶圓w絕緣層表面形成含有Μη之薄膜者。該成膜處理 裝置38,例如,可以使用類似日本特開2〇〇9_〇 1 6 78 2號 -18- 201118949 公報所示之成膜裝置的成膜裝置。 如第3圖所示,該成膜處理裝置38,具有內部環境 可真空排氣之處理容器1 00,於該處理容器1 00之側壁, 配設著搬出入口 102,該搬出入口 1〇2介由閘閥G連結至 共用搬運室14。於該處理容器1〇〇內,配設著立設於容 器底部之載置台104,於該載置台104內,埋設著當做加 熱手段使用之電阻加熱器1 0 6。藉此,可以將載置於載置 台1 04上面之晶圓W加熱至特定溫度。 另外’於處理容器1 00之天花板部,配設著做爲氣體 導入手段使用之噴灑頭108。於該噴灑頭108內,形成有 氣體擴散空間11 0,並形成有用以連通該氣體擴散空間 110與處理空間112之氣體噴出孔114。其次,Μη原料氣 體於流量控制下流過該氣體擴散空間。此時,上述Μ η原 料氣體與Η2、Ν2、或稀有氣體等之載體氣體同時流過, 此處之載體氣體,係使用Η2氣體。 另外,Μη原料氣體係使用含有錳之有機金屬材料的 (EtCp)2Mn[ = Mn(C2H5C5H4)2]。其次,上述 Μη 原料氣體, 被從氣體噴出孔U 4供應給處理空間1 1 2並到達晶圓W 表面’於該處分解而產生熱CVD反應,而於晶圓W上之 絕緣層表面進行含有第1金屬之薄膜的Μ11 Ο X膜之成膜。 此時’於晶圓W表面分解而產生之錳,與絕緣層之氧成 份結合而形成上述MnOx膜。此外,該成膜處理裝置3 8 只是單純的實例說明而已,並未受限於此,亦可以爲其他 成膜方法’例如’亦可以利用P V D法來形成Μ η Ο X膜。 -19- 201118949 其次,回到第1圖,爲了控制以此方式形成之處理系 統1 〇的整體動作,具有例如由電腦等所構成之系統控制 部1 1 6,該系統控制部1 1 6具有用以記憶執行動作所必要 而可供電腦讀取之程式的記憶媒體1 20。該記憶媒體1 20 係由軟碟、CD ( Compact Disc )、硬碟、快閃記憶體或 DVD等所構成。各處理裝置32〜38之動作,係在該系統 控制部1 1 6之支配下執行動作,而執行如後面所述之各處 理。 <本發明方法之說明> 其次,針對利用如以上構成之處理系統1 0所執行之 本發明方法進行說明。第4圖係用以說明本發明之成膜方 法整體之整體說明圖,第5圖係本發明之成膜方法之第1 實施例的流程圖,第6圖係本發明之成膜方法之第2實施 例的流程圖,第7圖係本發明之成膜方法之第3實施例的 流程圖,第8圖係絕緣層表面經過親水化處理時之變化形 態的例圖。 首先,半導體晶圓W處於本發明方法相關之未處理 狀態時,爲第4 ( A )圖所示之狀態,除了將絕緣層由 TEOS所形成之Si02膜變更成介電常數較低之絕緣層122
I 以外,與第1 3 ( A)圖所示之構造(障壁層4除外)爲相 同構造。亦即,在形成於半導體晶圓W之例如由被稱爲 Low-k膜(SiCO)之介電常數較低之材料所構成的絕緣層 (層間絕緣膜)122表面,以 Single Damascene構造、 -20- 201118949
Dual Damascene構造、三次元安裝構造等,形成對應於通 孔、透孔、或溝(溝槽)等之凹部2,於該凹部2底部, 以露出狀態形成著例如由銅所構成之下層配線層3。此處 ’ Low-k材料係代表具有介電常數低於si〇2膜之介電常 數4.1的材料。 具體而言’上述凹部2係由形成爲細長之剖面凹狀之 溝(溝槽)2 A、及形成於該溝2 A底部之一部份的孔2 B 所構成’該孔2B係通孔或透孔。其次,上述配線層3從 該孔2 B底部露出,而電性連結於下層配線層或電晶體等 元件。此外,省略了下層配線層及電晶體等元件之圖示。 上述凹部2 ’隨著設計規則之微細化,其寬度或內徑爲例 如極小之1 2 0 n m程度,長寬比爲例如2〜4程度。此外, 省略了擴散防止膜及蝕刻阻擋膜等之圖示,並以單純化形 狀來進行記載。 其次,對第4(A)圖所示之晶圓W,實施如第4(B )圖所示之前處理來實施絕緣層1 2 2表面之親水化(S 1 ) 。其後,如第4 ( C )圖所示,實施於上述絕緣層1 2 2表 面及凹部2內之露出面形成含有第1金屬之薄膜124的薄 膜形成製程(S 2 )。其次,因爲絕緣層1 2 2表面成爲親水 性表面,故可有效地堆積薄膜1 24。此處之薄膜1 24,如 前面所述,係由MnOx膜所構成,該MnOx膜具有障壁層 之機能。 其次,於該薄膜丨24表面(亦包含凹部2內之露出面 ),以濺鍍等形成銅之種膜’藉由進一步實施鍍銅處理而 -21 - 201118949 將例如由銅膜所構成之金屬膜8埋入上述凹部2內(參照 第4 ( D )圖)。其後,利用上述CMP處理等進行硏磨處 理,除去上述晶圓表面之多餘金屬膜8、種膜、及障壁層 124 ° 此處,針對第4(B)圖所示之前處理進行詳細說明 。該前處理,亦可以利用第5圖所示之第1實施例、第6 圖所示之第2實施例、及第7圖所示之第3實施例之任一 實施例來執行。 <第1實施例> 首先,第5圖所示之第1實施例,係執行於絕緣層 122表面實施親水化處理之上述親水化製程S1,其次,執 行形成薄膜124,此處係執行形成MnOx膜之成膜處理的 薄膜形成製程S 2。上述親水化處理,如前面所述,有電 漿處理、紫外線臭氧處理、GCIB處理、以及可見光照射 處理之4種處理方法,可以選擇執行該4種處理方法當中 之任一處理方法。 (電漿處理) 此處’親水化處理之第1例係針對選擇電漿處理時來 進行說明。該電漿處理可以第1圖中之親水化處理裝置 3 2來執行(亦可以第2圖所示之前處理裝置42來執行) 。該電漿處理時,係於Ar等稀有氣體之環境中、或〇2等 之含氧環境中、或兩氣體之混合氣體環境中產生電漿來進 -22- 201118949 行絕緣層I22表面之親水化。構成該絕緣層122之Low_k 膜(SiOC ),一般而言,因爲係利用三甲基矽烷等有機 材料來形成’如第8 ( A )圖所示’表面係以甲基(-C H 3 )爲末端而爲疏水面。其次,藉由使該絕緣層122表面曝 露於電漿來實施親水化處理’上述甲基被切斷,而如第8 (Β)圖所示,與-〇Η基或Si-0-Si結合’藉此’表面成 爲親水化。如此,絕緣層1 表面親水化後,於其後製程 之薄膜形成製程時,可以有效地進行Μη Οχ膜之堆積。 該電漿處理,如後面所述,只要執行數秒程度即可發 揮其效果。另外,電漿處理時之處理壓力或施加之高頻電 力並無特別限制,然而,實用上,處理壓力應在於I 〇°〜 l〇5Pa之範圍內,高頻電力應在於101〜104瓦特之範圍內 。此外,該電漿處理,亦可以於第2圖所示之前處理裝置 實施,此點如前面所述。另外,上述電漿處理若使用02 氣體時,因爲可以於絕緣層表面促進-OH基之形成,相對 於該部分,可以更有效地進行MriOx膜之堆積。此外,亦 可依據L0W-k絕緣層1 22之分子構造及構成元素,選用含 H之處理氣體的電漿、含C之處理氣體的電漿、含N之 處理氣體的電漿、或含F等鹵素之處理氣體的電漿。 (紫外線臭氧處理) 其次,親水化處理之第2例的表面改質處理,其內容 係執行紫外線臭氧處理,設置眾所皆知之紫外線臭氧處理 裝置做爲第1圖中之親水化處理裝置32來實施。該紫外 -23- 201118949 線臭氧處理時’係使晶圓W曝露於含有臭氧或〇2氣體之 含氧氣體環境中’同時照射紫外線,進行絕緣層1 2 2之表 面改質而使其親水化。照射該紫外線時,可以利用低壓水 銀燈(波長:185〜254nm)或xe激生分子燈(波長: 172nm)等,最好使用短波長紫外線(波長:240nm以下 )° 藉由照射該紫外線’ 〇2氣體內之至少一部分會變成 臭氧或氧自由基,絕緣層122之疏水性表面被改質成親水 性表面。如此,絕緣層1 22表面親水化後,於其後製程之 薄膜形成製程,可以有效地進行Μ η Ο X膜之堆積。此外, 依據Low-k膜之種類,即使未照射紫外線,有時亦可利用 稀釋之微量臭氧來處理而得到親水化。 (GCIB處理) 其次,親水化處理之第3例的GCIB處理,其內容係 執行氣體集團離子束處理,設置眾所皆知之GC IB處理裝 置做爲第1圖中之親水化處理裝置32來實施。該GCIB 處理時,使由數個至數千個原子或分子緩慢結合成之群集 化合物離子化成正電荷,以2.5〜80kV之加速電壓進行加 速並照射於絕緣層1 22表面。此處所使用之氣體,係例如 〇2、N2、H2' CH4、Ar、或He等稀有氣體等,亦可以使 用該等之混合氣體。如上面所述,使氣體集團離子束照射 於絕緣層1 22表面,而將疏水性表面改質成親水性表面。 如此,對絕緣層1 22表面實施親水化,於其後製程之薄膜 -24- 201118949 形成製程,可以有效地進行Μ η Ο χ膜之堆積。 (可見光照射處理) 其次,親水化處理之第4例的可見光照射處理,其內 容係執行照射波長42 5 nm之可見光的處理,設置可見光 照射處理裝置做爲第1圖中之親水化處理裝置3 2來實施 。該可見光照射處理裝置時,如上面所述,係對絕緣層 122表面照射波長42 5 nm (紫光)之可見光。此處,如前 面所述,因爲絕緣層1 2 2表面末端之矽與甲基(S i - C Η 3 ) 之結合能相當於42 5 nm之能量,可以有效地切斷該甲基 而如前面所述,變換成-OH基及Si-0-Si結合(參照第8 圖),而將疏水性表面改質成親水性表面。如此,使絕緣 層1 22表面親水化,於其後製程之薄膜形成製程可有效地 進行MnOx膜之堆積。 如以上所示’親水化處理製程S1結束後,接著,於 成膜處理裝置3 8進行薄膜形成製程S 2。實施該第1實施 例時,亦可未同時設置第1圖中之退火處理裝置34及附 著處理裝置3 6。該薄膜形成製程時,如第3圖所示,係 利用成膜處理裝置3 8執行。亦即,以電阻加熱器丨〇 6將 載置台1 〇4上之晶圓W加熱至特定溫度,對處理容器】00 內進行真空吸引之情形下,對該處理容器1 〇 〇內以噴灑頭 108同時供應載體氣體之H2氣體及Μη原料氣體,而使晶 圓表面產生分解反應,進而於形成在上述晶圓W表面之 絕緣層122表面,形成當做含有第1金屬之薄膜124使用 -25- 201118949 的MnOx膜(參照第4 ( C )圖)。此處之上述Μη 體,如上面所述,係將Η2氣體當做載體氣體來供應 此時’因爲上述Μη原料氣體於加熱中接觸到 解’另外’錳係具有容易與氧強烈結合之性質者, 有氧成份之絕緣層122中之氧成份產生反應而形成 膜。所以’係於從凹部2內底部露出之配線層3之 面幾乎沒有MnOx膜堆積之狀態。其次,如前面所 爲絕緣層1 2 2表面爲親水性表面,可以迅速且容易 由上述MnOx膜所構成之薄膜124,不只是絕緣層 面,連凹部2內之露出面也可有效地實施成膜。 此時之處理條件,處理溫度在於100〜400°C 內,例如,200 °C程度,處理壓力在於10°〜105P: 圍內,例如,1 3 3 P a。另外,氣體流量方面,Μη原 爲0.2〜lOsccm程度、載體氣體爲10〜lOOsccm程 成1〜7nm程度厚度之MnOx膜。如此,於薄膜形 (S2 )結束後,如前面所述,以濺鍍形成Cu種膜 電鍍處理將銅埋入凹部內(參照第4(D)圖)。 <第2實施例> 其次,針對本發明方法之第2實施例進行說明 2實施例時,如第4 ( B )圖所示’除了第1實施例 之親水化處理以外’尙實施退火處理。具體而言’ S.3所示,於先前說明之親水化製程S 1與薄膜形成^ 之間,實施將晶圓w加熱至特定溫度之退火處理 原料氣 ί 〇 氧而分 故與含 ΜηΟχ 銅露出 述,因 地堆積 122表 之範圍 a之範 料氣體 度,形 成製程 ,再以 。該第 所實施 如第6 吳程S 2 的退火 -26- 201118949 製程S1-1。該退火處理時,將晶圓W加熱至高於上述薄 膜形成製程之處理溫度的溫度,排除絕緣層1 22中之水份 〇 該退火處理,可以第1圖中之退火處理裝置34來實 施’處理中’使惰性氣體流過,例如,使N2氣體、或Ar 或He等稀有氣體流過,除去絕緣層122中所含有之水份 。該退火處理係基於以下之理由而實施。亦即,因爲該退 火製程之後製程的薄膜形成製程S2所使用之Μη原料氣 體,具有很容易與水份產生反應之特性,若於薄膜形成製 程從絕緣層1 2 2產生水份,該水份量會導致所形成之 MnOx膜的膜厚產生變動,進而導致成膜處理之重現性變 差。 所以,藉由於實施薄膜形成製程S2之前實施晶圓W 之退火處理,預先除去絕緣層1 2 2中之水份,提高後製程 所實施之薄膜形成製程之膜厚的重現性。此時,如上面所 述,退火處理時之處理溫度應設定成高於薄膜形成製程之 處理溫度,藉此,薄膜形成製程時,可以確實防止從絕緣 層1 22析出水份。例如,薄膜形成製程之處理溫度爲200 °C時,只要將退火製程之處理溫度設定成高於上述溫度之 溫度,例如,設定成220°C即可。此外,該退火製程S 1 - 1 亦可以不存在於上述步驟SI、S2之間,而在步驟S1之親 水化製程前實施。另外,該退火處理當然可以利用第2圖 所示之前處理裝置來實施。 -27 - 201118949 <第3實施例> 其次,針對本發明方法之第3實施例進行說明。該第 3實施例時,如第4(B)圖所示’除了先前之第1實施例 、或第2實施例以外,尙實施使水(水蒸氣)及/或含氧 氣體附著於絕緣層122表面之附著處理。具體而言’如第 7圖所示,此處係於第2實施例之退火製程S1-1與薄膜 形成製程S2之間,實施上述附著處理之附著製程S 1 -2。 該附著處理,可以第1圖中之附著處理裝置36來實 施,藉由使晶圓W曝露於水(水蒸氣)或含氧氣體’例 如,曝露於〇2氣體環境中而使水蒸氣或〇2氣體附著於絕 緣層122表面來形成-OH基或Si-0-Si結合,可以進一步 增加親水性,藉此’可以增加後製程之MnOx膜的堆積量 。此外,該附著處理,亦可以不使用上述附著處理裝置 3 6,而以使晶圓W曝露於具有一定濕度之環境中之方式 來實施。 另外,上述附著製程S1 -2,於第1實施例時,亦可 以在退火製程S 1 -1前、或親水化處理製程S 1前實施。另 外,如第7圖所示之流程圖中,亦可以顛倒親水化製程 S1與退火製程S1-1之順序。另外,該附著處理,當然可 以第2圖所示之前處理裝置42來實施。所以,若配設第 2圖所示之前處理裝置42,不但可以於該裝置內連續實施
I 親水化處理(電漿處理)、退火處理、及附著處理,想要 減少處理製程數時,可以在親水化處理(電漿處理)以外 ,實施退火處理及附著處理當中之任一方的處理。 -28- 201118949 <本發明方法之評估> 其次’實際實施本發明方法而於絕緣 MnOx膜,針對其評估結果進行說明。 [Ar電漿處理時] 首先,於晶圓W表面形成由L 〇 w - k材构 小於4.1 )之1之含有甲基等之SiOC膜所構 ,針對具有該絕緣層之晶圓實施如前面所述之 爲親水化處理,針對於該晶圓實施如前面所述 膜處理時之MnOx膜堆積量進行檢討,並針紫 進行說明。第9圖係電漿處理時間與XRF膜|1 算)之關係圖。此外,上述XRF係螢光X躬 。此處,係實施電漿處理及 Ar電漿處理。 膜之成膜時間爲600sec。 如第9圖所示,未執行電漿處理(處理 時,幾乎沒有 MnOx膜堆積。相對於此, 15 sec程度之電漿處理,堆積之MnOx膜的膜 ,呈現良好之結果,若進一步實施1 17sec程 堆積之MnOx膜的膜厚將達2_3nm以上,判斷 [濕潤性] 其次,針對由如上面所述之由S i 0 C膜 層表面的濕潤性、與利用〇2電漿處理時之 層表面形成 (介電常數 成的絕緣層 電漿處理做 之Μ η Ο X成 其評估結果 ί ( MnOx 換 線分析裝置 另外,Μ η Ο X 時間=0 s e c ) 只實施例如 ;厚達到lnm 【度以上時, 極爲優良。 ί構成之絕緣 !潤性變化進 -29- 201118949 行檢討。爲了進行比較,亦針對由利用TEOS所形成之 Si〇2膜所構成之絕緣層的濕潤性進行評估。第1 0圖係 SiOC膜表面之濕潤性的狀態圖,第10 ( A )圖係TEOS 膜(Si02膜)與SiOC膜之濕潤性的結果圖,第10 ( B ) 圖係濕潤性與〇2電漿處理時間的相關圖。 此處,針對H2o (水份)與C2H5OH (乙醇)進行評 估。由該第10(A)圖可以判定,TEOS之Si〇2膜對H20 與乙醇之雙方具有濕潤性,相對於此,SiOC膜對乙醇具 有濕潤性,但對H2o不具濕潤性、或較差。如此,因爲 濕潤性有差異,TEOS之Si〇2膜表面存在著Si-O-Si結合 或Si-OH結合,相對於此,可推測SiOC膜表面存在著 Si-CH3 結合。 其次,對該SiOC膜只實施0〜30sec期間之02電漿 處理,如第1〇 ( B )圖所示,相對於只實施5sec期間之 〇2電漿處理時,可以判明對H20之濕潤性獲得改善。 < MnOx膜之Cu擴散障壁性的評估> 其次,如上面所述,於實施〇2電漿處理做爲親水化 處理之絕緣膜表面,實際進行Μη Ox膜之成膜,於該膜上 堆積Cu膜,並針對進一步實施加速負荷試驗(退火)時 之Cu擴散障壁性進行檢討,同時針對其評估結果進行說 明。第Π圖係以實施加速負荷試驗時之晶圓的MnOx膜 爲中心之剖面模式圖,第1 2圖係第1 1圖中之特定部分之 元素的分析結果圖,第12(A)圖係第11圖中之MnOx -30- 201118949 膜境界部分之A部(Μη Οχ膜成膜後)的元素分布圖,第 12(B)圖係第11圖中之Μ nOx膜境界部分的稍爲下方之 SiOC膜中之B部(加速負荷試驗後)的元素分布圖。 此處,係只實施1 〇sec期間之02電漿處理做爲親水 化處理,其次,薄膜形成處理係進行MnOx膜之30min成 膜。此時之處理條件’處理溫度爲2 00 °C ,處理壓力爲 133Pa,H2載體氣體爲25Sccm,Μη原料氣體:(EtCp)2Mn 爲7sccm。其次,於該MnOx膜上,以濺鍍堆積Cu膜。 其次,針對此種晶圓進行加速負荷試驗並實施於400 °C內 之環境中放置100小時之退火處理(壓力:5x1 0_5Pa以下 )。結果,Μη Ox膜厚度爲3.2 nm程度,堆積了充份厚度 之MnOx膜。 另外,如第1 2 ( A )圖所示,可以得知,於MnOx膜 之境界附近存在著Μη或Cu。相對於此,如第12(B)圖 所示,於SiOC膜中不存在Cu,即使進行加速負荷試驗亦 無Cu元素之擴散,MnOx膜具有充份之障壁層機能。 此外,以上之各實施例時,係以將S i O C膜當做介電 常數較低之絕緣層1 22爲例來進行說明,然而,並未受限 於此,亦可以使用選自由SiOC膜、SiO膜、SiOF膜、 SiC膜、SiCOH膜、SiCN膜、多孔矽膜、多孔甲基倍半 矽氧烷膜、聚丙炔膜、SiLK (日本登錄商標)膜、以及氟 碳膜所構成之群組之1種以上的膜。 另外’用以形成上述MnOx膜之有機金屬材料的原料 氣體’並末限制爲(EtCp)2Mn,亦可使用選自由 -31 - 201118949
Cp2Mn[ = Mn(C5H5)2]、(MeCp)2Mn[ = Mn(CH3C5H4)2]、 (EtCp)2Mn[ = Mn(C2H5C5H4)2]、 (i-PrCp)2Mn[ = Mn(C3H7C5H4)2]、 M e C p Μ n (C O) 3 [ = (C H 3 C 5 H 4) Μ n (C O) 3 ] ' (t-BuCp)2Mn[ = Mn(C4H9C5H4)2]、CH3Mn(CO)5、
Mn(DPM)3[ = Mn(CiiHi9〇2)3]、
Mn(DMPD)(EtCp)[ = Mn(C7H1iC2H5C5H4)]'
Mn(acac)2[ = Mn(C5H7〇2)2] ' Μ n (D P M) 2 [ = Μ n (C i! Η , 9 O 2) 2 ] ' Mn(acac)3[ = Mn(C5H7〇2)3]、Mn(hfac)2[ = Mn(C5HF602)3]、 ((CH3)5Cp)2Mn[ = Mn((CH3)5C5H4)2]、 [Mn(iPr-AMD)2][ = Mn(C3H7NC(CH3)NC3H7)2]、 [Mn(tBu-AMD)2][ = Mn(C4H9NC(CH3)NC4H9)2]所構成之群 組之1以上之材料。 另外,上述MnOx膜係以CVD法來形成,然而,並 未受限於此’以PVD法形成MnOx (或MnSixOy膜)時 ,亦可適用本專利發明。例如,於具有親水性之絕緣膜上 堆積含有Μη之Cu膜且實施200°C之熱處理,於Cu與絕 緣膜之界面形成MnOx。另一方面,於具有疏水性之絕緣 膜上堆積含有Μη之Cu膜且實施200°C之熱處理,則無 法於Cu與絕緣膜之界面形成MnOx。基於上述事實,溶 解.擴散於Cu層中之Μη原子與鄰接於Cu層之絕緣膜的 〇原子產生反應而於其界面形成MnOx薄膜之條件,係絕 綠膜表P爲親水性,所以,絕緣膜表面具有疏水性時,對 其表面實施親水化處理係有效的方法。 -32- 201118949 另外,此處之被處理體係以半導體晶圓爲例來進行說 明,該半導體晶圓包含矽基板及GaAs、SiC、GaN等化合 物半導體基板在內,此外,並未限制爲該等基板,液晶顯 示裝置用玻璃基板及陶瓷基板等亦可適用本發明。此外, 具有利用上述本發明之成膜方法所形成之膜構造的半導體 裝置及具備該半導體裝置之電子機器亦爲本發明之適用範 圍。 【圖式簡單說明】 第1圖係以實施本發明方法爲目的之處理系統之一例 的槪略構成圖。 第2圖係可以實施親水化處理及退火處理及附著處理 之3種處理之前處理裝置的槪略剖面圖。 第3圖係實施錳氧化物成膜之成膜裝置的槪略剖面圖 〇 第4圖係用於說明本發明之成膜方法整體之整體說明 圖。 第5圖係本發明之成膜方法之第1實施例的流程圖。 第6圖係本發明之成膜方法之第2實施例的流程圖。 第7圖係本發明之成膜方法之第3實施例的流程圖。 第8圖係絕緣層表面經過親水化處理時之變化形態的 例圖。 第9圖係電漿處理時間與X R F膜厚(Μ η Ο X換算)之 關係圖。 -33- 201118949 第10圖係Low-k膜(SiOC)之表面濕潤性的狀態圖 〇 第11圖係實施加速負荷試驗時之以晶圓之MnOx膜 爲中心之剖面模式圖。 第12圖係第11圖之特定部分之元素的分析結果圖。 第1 3圖係半導體晶圓凹部之以往塡埋製程圖。 【主要元件符號說明】 1 :絕緣層 2 :凹部 2A :溝槽 2B ;孔 3 =配線層 4 :障壁層 6 :種膜 8 :金屬膜 1 〇 :處理系統 12 :搬運機構 12A :撿取部 1 2 B :撿取部 14 :共用搬運室 16 :預載室 1 8 :預載室 2〇 :支撐台 -34- 201118949 22 : 24 : 26 : 27 : 28 : 28 A 28B 30 : 32 : 34 : 36 : 38 : 42 : 44 : 46 : 48 : 50 : 5 1: 52 : 54 : 56 : 56A 56B 58 : 負載室 卡匣台 卡匣 閘門 搬運臂 :撿取部 :檢取部 導引器 親水化處理裝置 退火處理裝置 附著處理裝置 成膜處理裝置 前處理裝置 處理容器 搬出入口 排氣口 排氣手段 排氣通路 壓力調整閥 真空泵 噴灑頭 :氣體孔 :氣體入口 氣體供應手段 -35- 201118949 60 :氣體通路 6 2 :分歧路 64 :流量控制器 66 :開關閥 68 :載置台構造 7 0 :支柱 7 2 :載置台 74 :電阻加熱器 7 6 :供電線 7 8 :加熱器電源 8 0 :親水化手段 82 :電漿發生手段 8 4 :下部電極 8 6 :供電線 8 8 :匹配電路 90 :高頻電源 9 2 :處理空間 94 :裝置控制部 96 :記憶媒體 100 :處理容器 102 :搬出入口 104 :載置台 1 0 6 :電阻加熱器 1 0 8 :噴灑頭 -36 201118949 1 1 〇 :氣體擴散空間 1 1 2 :處理空間 1 1 4 :氣體噴出孔 1 1 6 :系統控制部 120 :記憶媒體 1 2 2 :絕緣層 1 2 4 :薄膜 G :閘閥 S 1 :親水化製程 S 1 - 1 :退火製程 S1-2 :附著製程 S 2 :薄膜形成製程 w :晶圓
S -37-

Claims (1)

  1. 201118949 七、申請專利範圍: 1. 一種成膜方法,係於表面形成著由具有凹部之 low-k膜所構成之絕緣層的被處理體,形成含有Μη之薄 膜的成膜方法,其特徵爲具有: 親水化製程,於前述絕緣層表面實施親水化處理使其 成爲親水性表面;及 薄膜形成製程,於經過前述親水化處理之前述絕緣層 表面實施利用含Μη原料之成膜處理來形成含有Μη之薄 膜。 2-—種成膜方法,係於表面形成著由具有凹部之 low-k膜所構成之絕緣層的被處理體,形成含有Μη之薄 膜的成膜方法,其特徵爲具有: 親水化製程,於前述絕緣層表面實施親水化處理使其 成爲親水性表面:及 薄膜形成製程,於經過前述親水化處理之前述絕緣層 表面實施使用含Μη原料氣體之成膜處理來形成含有Μη 之薄膜。 3 ·如申請專利範圍第1或2項所記載之成膜方法, 其中 前述親水化處理,係對前述絕緣層實施電漿處理。 4·如申請專利範圍第3項所記載之成膜方法,其中 前述電漿處理’係使用含氧氣體及/或稀有氣體。 5 ·如申請專利範圍第1項所記載之成膜方法,其中 前述親水化處理,係實施利用紫外線及含氧氣體來進 -38- 201118949 行前述絕緣層表面之改質的紫外線臭氧處理。 6.如申請專利範圍第〗或2項所記載之成 其中 則述親水化處理’係實施對前述絕緣層表面 集團離子束之GCIB處理。 1 如申請專利範圍第1或2項所記載之成 其中 前述親水化處理’係實施對前述絕緣層表面 42 5 nm之可見光的可見光照射處理。 8 ·如申請專利範圍第1至7項中任一項所 膜方法,其中 於前述薄膜形成製程之前,以高於前述薄膜 之處理溫度的溫度進行前述絕緣層之退火處理來 絕緣層中之脫水的退火製程。 9.如申請專利範圍第1至8項中任一項所 膜方法,其中 於前述薄膜形成製程之前,實施使水及/或 附著於前述絕緣層表面之附著處理的附著製程。 1 〇 ·如申請專利範圍第1至9項中任一項所 膜方法,其中 前述Low-k膜係具有介電常數小於4.1之介 材料。 11.如申請專利範圍第1至1 〇項中任一項 成膜方法,其中 膜方法, 照射氣體 膜方法, 照射波長 記載之成 形成製程 實施前述 記載之成 含氧氣體 記載之成 電常數的 所記載之 -39 - 201118949 前述l〇w-k膜係由選自由SiOC膜、SiO膜、SiOF膜 、SiC膜、SiCOH膜、SiCN膜、多孔矽膜、多孔甲基倍 半矽氧烷膜、聚丙炔膜、SiLK (日本登錄商標)膜、以及 氟碳膜所構成之群組之1個以上之膜所構成。 1 2 .如申請專利範圍第1至1 1項中任一項所記載之 成膜方法,其中 前述含 Μη原料係選自由 Cp2Mn[ = Mn(C5H5)2]、 (MeCp)2Mn[ = Mn(CH3C5H4)2]、 (EtCp)2Mn[ = Mn(C2H5C5H4)2]、 (i-PrCp)2Mn[ = Mn(C3H7C5H4)2] ' MeCpMn(CO)3[ = (CH3C5H4)Mn(CO)3]、 (t - B u C p) 2 Μ η [ = Μ n (C 4 H 9 C 5 H 4) 2 ] ' CH3Mn(CO)5 ' Mn(DPM)3[ = Mn(C, !Η,9〇2)3] ' Mn(DMPD)(EtCp)[ = Mn(C7H,,C2H5C5H4)]' Μn(acac)2 [ = Mn(C5H7〇2)2] ' Mn(DPM)2 [ = Mn(C ι ι Η i 902)2] ' Mn(acac)3[ = Mn(C5H7〇2)3]、Mn(hfac)2[ = Mn(C5HF602)3 ]、 ((CH3)5Cp)2Mn[ = Mn((CH3)5C5H4)2]、 [Mn(iPr-AMD)2][ = Mn(C3H7NC(CH3)NCjH7)2]、 [Mn(tBv-AMD)2][ = Mn(C4H9NC(CH3)NC4H9)2]所構成之群 組所選擇之1個以上之材料。 13. —種前處理裝置,係用以實施於表面形成著由具 有凹部之1 〇 W - k膜所構成之絕緣層的被處理體’形成含有 Μη之薄膜之前進行的前處理之前處理裝置,其特徵爲具 備: -40- 201118949 處理容器,可進行排氣; 載置台構造,配設於前述處理容器內用以載置前述被 處理體; 加熱手段,對前述被處理體進行加熱; 氣體導入手段,將氣體導入前述處理容器內: 氣體供應手段,能夠對前述氣體導入手段供應稀有氣 體、水蒸氣、及含氧氣體; 親水化手段,對前述絕緣層之表面實施親水化;以及 裝置控制部,控制裝置整體,對前述被處理體實施退 火處理、附著處理、及親水化處理。 1 4·如申請專利範圍第1 3項所記載之前處理裝置, 其中 前述親水化手段係由電漿發生手段、紫外線照射手段 、GCIB處理手段、以及波長爲425nm之可見光照射手段 當中之任一手段所構成。 1 5 . —種處理系統,係用以於表面形成著由具有凹部 之low-k膜所構成之絕緣層的被處理體,形成含有Μη之 薄膜的處理系統,其特徵爲具備: 共用搬運室,具有將前述被處理體搬運至內部之搬運 機構; 親水化處理裝置,連結於前述共用搬運室,用以對前 述被處理體實施親水化處理; 成膜處理裝置,連結於前述共用搬運室,用以對前述 被處理體實施用以形成含有Μη之薄膜的成膜處理;以及 -41 - 201118949 系統控制部,用以控制處理系統整體動作。 1 6.如申請專利範圍第1 5項所記載之處理系統,其 中 前述親水化處理裝置係電漿處理裝置、紫外線照射處 理裝置、氣體集團離子束照射處理裝置、以及照射波長 425nmn之可見光的可見光照射處理裝置當中之任一處理 裝置。 1 7 .如申請專利範圍第1 5或1 6項所記載之處理系統 ,其中 前述共用搬運室,連結著用以對前述被處理體實施退 火處理之退火處理裝置。 1 8 .如申請專利範圍第1 5至1 7項中任一項所記載之 處理系統,其中 前述共用搬運室,連結著用以實施使水及/或含氧氣 體附著於前述被處理體之附著處理的附著處理裝置。 19. 一種處理系統,係於表面形成著由具有凹部之 low-k膜所構成之絕緣層的被處理體,形成含有Μη之薄 膜的處理系統,其特徵爲具有: 共用搬運室,具有用於將前述被處理體搬運至內部之 搬運機構; 前處理裝置,連結於前述共用搬運室之如申請專利範 圍第1 3或1 4項所記載者; 成解處理裝置’連結於前述共用搬運室’用以對前述 被處理體實施形成含有Μη之薄膜的成膜處理;以及 -42- 201118949 系統控制部,用以控制處理系統整體動作。 2 0. —種半導體裝置,其特徵爲具有: 利用如申請專利範圍第1至1 2項中任一項所記載之 成膜方法所形成之膜構造。 21. —種電子機器,其特徵爲具備: 利用如申請專利範圍第1至1 2項中任一項所記載之 成膜方法所形成之膜構造的半導體裝置。 -43-
TW099119470A 2009-06-16 2010-06-15 Film deposition method, pretreatment device, and treating system TW201118949A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009142963A JP5522979B2 (ja) 2009-06-16 2009-06-16 成膜方法及び処理システム

Publications (1)

Publication Number Publication Date
TW201118949A true TW201118949A (en) 2011-06-01

Family

ID=43356457

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099119470A TW201118949A (en) 2009-06-16 2010-06-15 Film deposition method, pretreatment device, and treating system

Country Status (6)

Country Link
US (1) US8865590B2 (zh)
JP (1) JP5522979B2 (zh)
KR (1) KR101399814B1 (zh)
CN (1) CN102460653A (zh)
TW (1) TW201118949A (zh)
WO (1) WO2010147141A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5307072B2 (ja) * 2009-06-17 2013-10-02 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
JP5862353B2 (ja) * 2011-08-05 2016-02-16 東京エレクトロン株式会社 半導体装置の製造方法
JP6117588B2 (ja) * 2012-12-12 2017-04-19 東京エレクトロン株式会社 Cu配線の形成方法
US8603913B1 (en) * 2012-12-20 2013-12-10 Lam Research Corporation Porous dielectrics K value restoration by thermal treatment and or solvent treatment
JP6601257B2 (ja) * 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
DE102016109713A1 (de) * 2016-05-25 2017-11-30 Infineon Technologies Ag Verfahren zum Bilden eines Halbleiterbauelements und Halbleiterbauelement
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
JP6336022B1 (ja) * 2016-12-19 2018-06-06 株式会社荏原製作所 めっき装置、めっき方法、及びコンピュータ読み取り可能な記録媒体
JP6742268B2 (ja) * 2017-03-31 2020-08-19 富士フイルム株式会社 熱可塑性樹脂フィルムの製造方法、導電性フィルムの製造方法、熱可塑性樹脂フィルム、及び、導電性フィルム
JP7157596B2 (ja) * 2018-08-30 2022-10-20 株式会社Screenホールディングス ゲート絶縁膜の形成方法および熱処理方法
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
CN112864089A (zh) * 2019-11-27 2021-05-28 长鑫存储技术有限公司 半导体结构和互连结构的制备方法
US11846018B2 (en) 2021-02-08 2023-12-19 Macdermid Enthone Inc. Method and wet chemical compositions for diffusion barrier formation

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH09251935A (ja) * 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
JP3250518B2 (ja) * 1998-04-15 2002-01-28 日本電気株式会社 半導体装置及びその製造方法
JP2002026121A (ja) * 2000-06-30 2002-01-25 Tokyo Electron Ltd 半導体装置およびその製造方法、絶縁膜の形成方法
JP2002118112A (ja) 2000-10-05 2002-04-19 Hitachi Ltd 埋め込み配線構造を有する半導体装置の製法
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3990920B2 (ja) * 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
JP3768480B2 (ja) 2002-02-14 2006-04-19 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US20030155657A1 (en) 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
JP4344506B2 (ja) * 2002-05-20 2009-10-14 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP3495033B1 (ja) 2002-09-19 2004-02-09 東京エレクトロン株式会社 無電解メッキ装置、および無電解メッキ方法
JP4538259B2 (ja) * 2003-04-23 2010-09-08 東京エレクトロン株式会社 層間絶縁膜の表面改質方法及び表面改質装置
JP2005167081A (ja) 2003-12-04 2005-06-23 Renesas Technology Corp 半導体装置およびその製造方法
JP4478038B2 (ja) 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
US20070026642A1 (en) 2004-04-20 2007-02-01 Shingo Hishiya Surface modification method and surface modification apparatus for interlayer insulating film
US7759251B2 (en) 2004-06-03 2010-07-20 Tel Epion Corporation Dual damascene integration structure and method for forming improved dual damascene integration structure
JP2007273848A (ja) * 2006-03-31 2007-10-18 Toshiba Corp 半導体装置の製造方法
TW200810019A (en) * 2006-06-08 2008-02-16 Tokyo Electron Ltd Film forming apparatus, film forming method, computer program and storage medium
JP2008013848A (ja) * 2006-06-08 2008-01-24 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2008300568A (ja) * 2007-05-30 2008-12-11 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP2009016782A (ja) 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置

Also Published As

Publication number Publication date
US20120135612A1 (en) 2012-05-31
CN102460653A (zh) 2012-05-16
JP5522979B2 (ja) 2014-06-18
JP2011003569A (ja) 2011-01-06
US8865590B2 (en) 2014-10-21
WO2010147141A1 (ja) 2010-12-23
KR101399814B1 (ko) 2014-05-27
KR20120025543A (ko) 2012-03-15

Similar Documents

Publication Publication Date Title
TW201118949A (en) Film deposition method, pretreatment device, and treating system
US8242015B2 (en) Film forming method and film forming apparatus
TWI436428B (zh) 釕金屬覆蓋層之形成方法
US7772111B2 (en) Substrate processing method and fabrication process of a semiconductor device
US8440563B2 (en) Film forming method and processing system
KR101275679B1 (ko) 배리어층, 성막 방법 및 처리 시스템
KR100952685B1 (ko) 반도체 장치의 제조 방법, 반도체 제조 장치 및 기억 매체
KR20100116631A (ko) 선택적 저온 루테늄 증착을 반도체 디바이스의 구리 금속 배선에 통합하는 방법
KR20090009962A (ko) 성막 장치, 성막 방법, 컴퓨터 프로그램 및 기억 매체
KR100332937B1 (ko) 반도체 장치 및 그 제조 방법
TW201418503A (zh) 含錳膜之形成方法
KR20140020203A (ko) Cu 배선의 형성 방법 및 기억매체
JP5082411B2 (ja) 成膜方法
KR101396624B1 (ko) 성막 방법 및 처리 시스템
KR100922905B1 (ko) 성막 방법, 반도체 장치의 제조 방법, 반도체 장치, 프로그램 및 기록매체
TW200810019A (en) Film forming apparatus, film forming method, computer program and storage medium
Mori et al. Self‐Formation of a Ru/ZnO Multifunctional Bilayer for the Next‐Generation Interconnect Technology via Area‐Selective Atomic Layer Deposition
Liu Plasma surface interactions at interlayer dielectric (ILD) and metal surfaces
JP2014175355A (ja) 半導体装置の製造方法