TW201115126A - Thermocouple - Google Patents

Thermocouple Download PDF

Info

Publication number
TW201115126A
TW201115126A TW099114329A TW99114329A TW201115126A TW 201115126 A TW201115126 A TW 201115126A TW 099114329 A TW099114329 A TW 099114329A TW 99114329 A TW99114329 A TW 99114329A TW 201115126 A TW201115126 A TW 201115126A
Authority
TW
Taiwan
Prior art keywords
sheath
thermocouple
alignment feature
cap
cross
Prior art date
Application number
TW099114329A
Other languages
English (en)
Other versions
TWI480527B (zh
Inventor
Rand Conner
Ravinder K Aggarwal
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW201115126A publication Critical patent/TW201115126A/zh
Application granted granted Critical
Publication of TWI480527B publication Critical patent/TWI480527B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K1/00Details of thermometers not specially adapted for particular types of thermometer
    • G01K1/14Supports; Fastening devices; Arrangements for mounting thermometers in particular locations
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Chemical Vapour Deposition (AREA)

Description

201115126 六、發明說明: 、 【發明所屬之技術領域】 本發明關於溫度感測器,尤指一種構形成增進半導體 製程设備中溫度控制準確性尤溫度感測器。 【先前技術】 高溫半導體製程腔室係用於沉積多種材料層到一基 板表面或多個表面之上。基本上一或多個基板或工件,例 如矽晶圓,其放置在該製程腔室(chamber)内一工件支撐 (workpiece support)上來進行處理。該基板與工件支撐皆被 加熱到所需的溫度。在一種典型的製程步驟中,反應氣體 被送到每個加熱的基板之上,藉此一化學氣相沉積 (CVD,Chemical vapor deposition”)或一原子層沉積 (ALD ’ “Atomic layer deposition”)反應將該等反應氣體中 一薄層反應劑材料沉積在該(些)基板表面上。經由後續的 製程,這些層被製成積體電路,且根據該基板之大小與該 等電路之複雜性而成為數十到數千或甚至數百萬的積體 裝置。 多種製程參數必須被小心地控制來確保所得到的沉 積層具有南品質。這類關鍵參數中其一為在每個製程步驟 期間該基板之溫度。例如在CVD期間,該等沉積氣體在 特定溫度下反應而沉積該薄層在該基板上。如果該溫度在 該基板的表面各處大幅變化,該沉積層可能會不均勻,造 成在完成的基板之表面上有無法使用的區域。因此,該基 板溫度在該等反應氣體被引入到該製程腔室之前可維持 穩定與均勻的在所需的溫度,是相當重要的。 201115126 同樣地,在其它熱處理期間橫跨一基板之溫度之非均 勻性或不穩定性會影響該基板之表面上所得到之結構的 均勻性。其它對溫度控制而言非常重要之製程包括(但不 限於)氧化(oxidation)、氮化(nitridation)、換雜劑擴散 (dopant diffusion)、濺鍍沉積(sputter depositions)、微影 (photolithography)、乾蝕刻(dry etching)、電漿處理(piasma processes)及 if;溫退火(high temperature)。 已知有用於測量靠近及緊鄰要被處理之基板多個位 置處的溫度之方法與系統。基本上熱耦被設置在靠近要 處理之基板的多個位置處’且這些熱耦可操作式地連接至 一控制器來輔助提供横跨該基板之整個表面的更均句之 溫度。例如,美國專利編號US6,121,〇61教示複數溫度感 測益,其測里%繞s亥基板之多個點的溫度,包括設置在靠 近該基板之前緣的一熱耦,另一個靠近尾緣,一個在側 邊,而另一個在該基板之下靠近該基板的中心處。 熱耦為常用於半導體製程反應腔室中.測量環繞正在 處理之基板,以及一般而言在反應腔室内的多個位置處之 溫度的一種溫度測量裝置。熱耦基本上包括藉由熔融多條 線的末端在一起所形成的至少一接頭,其中該等線由至少 兩種不同的金屬形成,而在其間形成—_。該等熱耗係 安裝在該反應腔室之内,以確保該熱耦的該(些)接頭被精 確地定位,以提供在一特定位置處的溫度測量。當該熱= 之接頭在女裝期間偏離該精確位置,由該熱耦提供的溫产 資料在當該接__需的位置愈遠時即愈不準確。= 外,對於具有沿著其長度設置多個接頭的_,該献輕之 旋轉亦會造成該接頭之位置距離所需的位置愈來愈遠。 基本上’在製造習知的熱糕時’並無確實地將該接頭 定位在熱耦之内的特徵(feature)。同時,當熱轉安梦在一' 201115126 半導體製㈣備的反應腔室之内時,在f知的 特徵可確保該熱耦係適當地對準於該設備< ' I無 (些)接頭係設置在-準確的位置處好;;^溫度婦使得該 因此’存在著對-種具有内部對準特徵的敎耗之命 要’該等特徵允許該接頭在製造期敬持續地定位在 輕之内。亦存在對-種具有外部對準特__ °要.、、,、 該等特徵允許_在絲期时確實财位在—反應腔 【發明内容】 在本發明-種態射,提供—種可設置在感受^ =口 =包置在該感受; 包括至少一内部對準特徵及/或i二= 1::相對於該感受器環:而確實地定蝴= 在本發明另一種態樣中,接一 =進:溫度測量_ 包:種f:感=之内 其-末端處的—測量尖端,套’其具有位在 〇。該熱㈣包括設置在其—相對末端處的—開 亦包括位在該護套内至少w的-支撐構件。該熱耦 鄰接於該測量尖端的至少二輕接頭。該熱搞另包括位在 5亥開〇的一内部對準特徵。1 。卩對準特徵,或位在鄰接於 徵構形成確實地定位對準特徵與該内部對準 龟的内孔之内。 準该(些)熱耦接頭於該感受器 應器之内二量統提供:種在-半導體製程反 'Ά。該系統包括一感受器環與 201115126 一熱輪,其可設置在形成於該感受器環中一 感受器環具有在其中形成的至少一内孔,且嗲孔之内。該 封閉端’並形成-第—橫截面形狀。—凹陷=孔包括-内孔的封閉端處,其中該凹陷區域在該内孔ϋ开^成在該 二橫截面形狀。該第二橫截面形狀不同於該第一=成—第 狀。該熱耦可設置在該内孔之内,且該熱:包:横截=形 其具有一測量尖端位在其一末端處,及一開口 ,套, 對末端處。該熱耦亦包括位在該護套内至少一埶八了相 該熱耦另包括位在鄰接於該測量尖端的至少_^接頭。 特徵及/或位在鄰接於該開口之一内部對準特徵,其準 部對準特徵與制部對準雜構形成確實地定^或= 該熱耦接頭於該感受器環的内孔之内。 s 本發明之好處對於熟此技藝者而言,可由以下經例示 來顯示與描述的本發明具體實施例的說明而更加清楚。應 瞭解本發明能夠實作成其它與不同的具體實施例,且其^ 節能夠修正成多種不同的態樣。因此,此處之圖式與說明 的性質皆應視為例示性,而非限制性。 【實施方式】 請參照圖1’所示為習知的半導體製程反應器10之示 例性具體實施例。反應器10可構形成用於化學氣相沉積 (CVD)製程、原子層沉積(ALD)製程、或任何用於沉積一 薄層材料在位在反應器1〇内一基板上的其它製程。圖1 所示之已知反應器1 〇基本上用於美國亞利桑那州Phoneix 市的ASM America公司所製造的Epsilon®工具中。所例 示之具體實施例的反應器丨〇包括外殼丨2與位在外殼12 之内的冷壁、單一基板反應腔室丨4。但是,熟此技藝者必 201115126 須瞭解反應腔室14可為任何一種能夠在其中處理基板的 型式。反應腔至14疋義反應空間16,在其中發生化學反 應。反應腔室14包括入口 18,經由該入口,製程氣體被 引入到反應空間16 ¥中’及出口 2〇,經由該出口,製程 氣體離開反應空間16。反應器10另包括設置在外殼 之内的複數加熱兀件22,以提供輻射熱到反應腔室14。 在一具體實施例中,基板支撐組合件24至少部份位 在反應腔室14之内,如圖1所示。基板支撐組合件24包 括基板夾持器26、夾持器支撐構件28、感受器環、及 感受器環支们2。基板夾持n 26構形成在製程期間將基 板34支撐在反應空間16之内。爽持器支撐構件28可操 作地連接至基板爽持H 26,且失持n支禮構件28附著於 軸件36,其向下延伸通過由反應腔室14之底表面向外延 伸的管件38。軸件36可操作地連接至位在反應器1〇之外 馬達(未4) ’其獅錢轉軸件%,藉此使得夹 器支稽構#28與基板祕器26_對應方式在 14之内旋轉。 s .丹瓶声'她例Y级叉荔環3〇環繞基板夾持器 ^並▲構職補償在製程朗來自基板34與基板夹持器 =,邊緣的溫度損失。如圖丨所示,感受器環3()由感受 =衣支標32所支推’其可操作地附著於反應腔室14之下 表面。在-具體實施例中,感受器環3G形成為—單一件, ,包括通過其獨紐表面42而形㈣至少—内孔4〇, =至2所7F。熟此技勢者必須瞭解感受器環%可罝
St:成,何數目的内孔4〇,且内孔40可形成; 2又”30之任何表面當中。在圖丨至3所例示的示例 =體貫%例中’感受器環30包括通過其後表面42而形 成的三個内孔40。内孔40構形成接收一溫度測量裝置, 7 201115126 例如-熱輕、-高溫計、或任何其它能夠測量感受器〇 内一特定位置處溫度H内孔4Q係僅通過感受器環 30之長度的-部份而形成,使得内孔4G之—端為開放, ^另-端為封閉,藉以提供—盲孔用於接收該溫度測量裝 ,内孔40係鄰接於形成在感受器環30中的孔徑44而 形成’如圖2所示。内孔4G之長度與位置允許在1内設 置一溫度測量裝置,用以測量環繞基板夾· 26之多個 ,置^的局部化溫度(圖1)β在—具體實施例中,沿著感 受器環3G之側邊延伸的側内孔4G之每一者的封閉端係位 在鄰接於感冗裔環30之前緣46。在另一具體實施例中, 側内孔40之每一者的封閉端位在感受器環3〇之尾緣 與如緣46之間距離的大約一半處,使得侧内孔4〇之封閉 端位在與孔徑44的最靠近點。熟此技藝者必須瞭解側内 孔40可具有任何的長度,且該等相對側内孔之長度可以 不同。在一具體實施例中,位在側内孔4〇之間的後内孔 40延伸使得後内孔40之封閉端位在緊鄰於孔徑44之邊緣 處。 請參照圖3 ’所示為感受器環3〇之側内孔4〇之橫截 面,其中一溫度測量裝置位在内孔40之内。在所例示的 具體實施例中,溫度測量裝置為熱耦50。熱耦50的測量 尖端52位在緊鄰於内孔40之封閉端,但熟此技藝者必須 瞭解測量尖端52可位在沿著内孔40之長度的任何位置 處。 改良的熱耦50之具體實施係例示於圖4。在所例示的 具體實施例中,熱耦50包括護套54、支撐構件56、第一 線58、第二線、螺帽62、帽蓋64、插頭66以及内部 對準特徵(inner alignment feature)68及/或外部對準特徵 8 201115126 (outer alignment feature)7〇 〇 護套 具有形成其一遠端的測量尖端52 為拉長的構件,其 的相對遠端。護套54沿著其整個及在其中形成開口 72 度,但必須瞭解護套54可具;ΐ度具有實質固定的厚 形成。在-具體實施例中,護套^者其長度之不同厚度而 用任何足財受反應腔室14 英形成,但可使 料。護套54的測量尖端52可形j咖度邊化之其它材 者必須瞭解測量尖端52可形^ ―圓形端’但熟此技藝 半球形或類似者。在另_具體形狀’例如錐开知 量尖端52形成一平坦表面。&列中(未不出)’例如測 如圖4所示’支撐構件% 並延伸通過開口 72到測量尖端又置在護套54之内, 實施例中,支撐構件56為由 ,〜體 可使用任何足以承受在反庳肿/成的一拉長構件,但 其匕材枓。在一具體實施例中, 又心 ^ 74 > Φ ^ 叉棕構件56包括兩個内 此技藝者必須瞭解支撐構件56 二 任何數目之内孔74。每個内?丨中而$成的 線與第二線58、60中:個;孔;4 = 成在其中接收第- 一者之一部份延伸超過鄰接於護套;4 =6^/端76。鄰接於支標構么以 朴 弟、表與弟一線58、60由不同的金 士 m二在其間形成—熱搞。在—具體實施例中, ° J ^頭78係與鄰接於測量尖端52之護套54 :+、Wg疋7二成—靠合關係。在另一具體實施例中(未
S 對於測量尖端52以一空間相隔的方式 在 隻套54的内部表面。圖4至5Β所示之熱輕 9 201115126 的:例性具體實施例為-單接頭熱輕,其中接頭78 :則里太端52處熱耦50之遠端。熟此技藝者必須 =〇之替代性具體實施财,接頭78可位在沿著熱心 = f處’、或熱耦5〇可包括位在沿著該熱耦之 長度的只貝上相同或不同位置處的多個接頭78,將在 說明。 =具體實施例中,支撐構件56之第二遠端8〇延伸 =套54之開口,如圖4所示。内孔%之末端位在 ^太之外,使得第一線與第二、線58、60同樣地延伸超 54之開口 72。第一線與第二線58、60之每-者的 f餘自由端可操作地連接至插頭胤。螺帽.62與帽蓋64 ^形成固定延伸超制口 72之支撐構件56 _部份至護 套54,以確保支撐構件56維持位在護套54之内。 別是當安裝—熱_在—盲孔或—内孔之内時,-感 受器環的—難處在於’適當崎準或定位該_之測量接 ,於一内孔之内。因為該熱耦被插入到一盲孔當中,由於 ,作者無/i:看到5彡内孔之内來確認其巾該(些)測量接頭之 定,,因此很難確保適當的定位及/或對準。當安裝具有一 ,置接頭的熱耗在該熱耗之遠端處時,通常需要設置該熱 之測=大端緊接於該内孔之封閉端,而不會接觸該内孔 之閉端。但疋,该操作者可能將該熱耦延伸進入該内孔 過深使得5亥測1尖端接觸到該感受器環,其會造成該護 套的損壞;’並降低該溫度測量的準確性,或是造成該熱轉 之過早失效。另外,如果該熱搞並未插入夠深到進入該内 =中,該接頭將會與_孔之㈣端間隔太遠,使得與該 碩之所而的位置距離增加而降低該溫度測量的準確 吐旦田安衣具有沿著其長度設置之至少—測量接頭而與該 測量尖端相隔的㈣時,很難確㈣接頭被適當地設置, 201115126 =熱輕不會在該内孔之内旋榦从 所高的位置而達到最佳 t错此將該接頭移動遠離 之製程,時常需要-種準確皿 =。對於與溫度高度相關 製程之最佳狀況。因此 ,的溫度測量來確保該 預定位置處來悬確只地疋位一熱耦之接頭在一 力,為當安細之準確性與錢性的能 内部對準特微:f 室14 _的—種重要因素。 合,構形成持芦地义部對準特。徵70,不論其為單獨或組 準熱_ 50之接^ J。於感文器環30確實地定位及/或對. 之熱輕50 具有一對外部對準特徵7〇 示的且體實?心丨T热此技藝者必須瞭解,當所例. 以^兩個外部對準特徵70時’她5〇可 與確實岭Γ 處的制78持續地 準特徵70將參昭^圖=内。外部對 —土 早接碩熱耦來說明,其中接頭78以 合關係或緊接於測量尖端5 2處之護套5 4的内部表面 而定位。熟此技藝者必須瞭解在其它具體實施例中,接頭 %可位在沿著熱耦50之長度上任何位置處,或熱耦5〇 可包括多個接頭78。 在一具體貫施例中,每個外部對準特徵7〇包括形成 在屢套54之測1尖端52處的外部表面中的切口 82,如圖 4至5B所示。在所例示的具體實施例中,切口 82包括設 置表面84與停止表面86。在一具體實施例中,設置表面 84由靠近護套54之測量尖端52的一位置延伸,並向後地 朝向護套54之開口 72延伸。設置表面84為一實質平坦 表面’其方向實質上平行於護套54之縱向軸,且該平坦 表面相對於一圓柱形護套形成一翼弦。停止表面86為相 201115126 f於设置表面84以概略橫向方式定向的一表面,藉此在 停止表面86與設置表面84之間形成一角度。在一具體實 %例中,停止表面86之方向垂直於設置表面84,而在其 間形成一實質上的直角。在另一具體實施例中,停止表面 8^6之方向相對於設置表面84,藉此在其間形成一鈍角。 停止表面86由設置表面84向外徑向地(radially)延伸到護 套54之邊緣,以在其中形成切口 82。切口 82之橫截面形 狀對應於在感f ||環3咽2至3)巾㈣4G之封閉端88 處的形狀。 在圖2至3所示之感受器環3〇的具體實施例中,内 孔延伸近乎該肋部之整個長度到這些内孔所形成處, 以提供-盲孔到感受器環3G·之肋部#中用於接收熱輛 5〇°、Ϊ:ΐ體實施例中,感受11環3。之盲孔内孔4〇藉由 自感文益裱30移除材料所形成,以形成僅為感受器環3〇 之長度的一部份之凹陷。在另一具體實施例中,盲孔内孔 40藉由自感受器環30移除材料所形成,以沿著感受器環 30之整個長度形成該凹陷,且一插頭或插件在稍後被插入 到該凹陷之末端當中,並經密封來形成該盲孔。 在如圖6Α至6Β所示之感受器環3〇的具體實施例 中’每個内孔40a延伸肋部9〇之整個長度,使得内孔4如 在兩端皆為開放。在-具體實施例中,插件92可插入到 内孔40a之末端當中,以提供一橫截面形狀該形狀不同 於内孔40a之長度其餘部份的橫截面形狀。在一具體實施 例中’通過肋部90之内孔術形成為一圓柱孔,且插 %為類/以的圓柱構件’構形成安裝在内孔4加之末端内。 熟此技藝者必須瞭解到内孔40a可形成具有任何橫截面形 狀’且插件92之概略的橫截面形狀對應於内孔條的橫 截面形狀。 201115126 在一具體實施例中,插件92為一圓柱構件,其構形 成插入到形成在感受器環30中的内孔4〇a,以形成該内孔 之封閉端88(圖2至3)。插件92包括第一端面94、相對 的第一端面96、及凹陷區域%,其由第二端面96縱向地 延伸。在一具體實施例中,凹陷區域98包括一對相對的 縱向表面100 ’其實質上平行於插件92的縱軸延伸,及延 伸在縱向表面100之間的橫向表面1〇2。熟此技藝者必須 瞭解插件92之凹陷區域98可包括任何數目之縱向表面 100,藉此縱向表面100形成一橫戴面形狀,其不同於插 件92可插入其中的内孔40a之橫載面形狀。插件92構形 成插入及密封鄰接於感受器環3〇之前緣46的内孔40a之 末端,以提供一封閉端給内孔4〇a。插件92另構形成接收 該熱耦之測量尖端52,以確實地對準與定位接頭78於内 孔40a之内。在一具體實施例中,插件92設置在内孔4〇a 之内,插件92之位置使得縱向表面1〇〇以實質上垂直的 方式定向。在另一具體實施例中,插件92設置在内孔40a 之内,使得縱向表面1〇〇以一非垂直角度來定向。 在另一具體實施例中,如圖7A至7B所示,盲孔内 孔40a之封閉端可使用一對方塊1〇4 ’及定位在形成於感 文為環30當中掣子(detent)l〇8之内的插頭1〇6來形成。 方塊104為由與感受器環3〇相同的材料所形成的實心構 件。當定位在掣子1〇8之内時,方塊1〇4與插頭1〇6在内 孔40a之末端處形成凹陷區域98,其中凹陷區域98構形 成接收熱耦50之測量尖端52。每個方塊104包括第一端 面94、苐二端面% ’與延伸在端面94、96之間的縱向表 面丨00。方塊丨04定位在内孔40a之相對側邊上的掣子1〇8 之内/、中方塊丨04之縱向表面丨00之方向彼此相對。方 塊104定位在掣子108之内,以覆蓋内孔4〇a之一部份, 201115126 藉此修改内孔40a之橫截面形狀。因為方塊i〇4覆蓋内孔 40a之一部份,方塊104之第二端面96被暴露,並構形成 接觸熱輕50之切口 82的停止表面86。方塊104係固定在 掣子108之内,以防止方塊1〇4移動。插頭1〇6被插入到 掣,108當中,並接觸鄰接於其縱向表面1〇〇的方塊1〇4 之該等表面。插頭1〇6之橫截面形狀實質上相同於掣子 之形狀,藉以完全地填滿與覆蓋掣子1〇8的開口,並 密封内孔40a。位在方塊104之縱向表面1〇〇之間的空間 中之插頭106的該部份表面在凹陷區域%内形成橫向表 <冰度恕合熱耦50之切口 82的長度, ^T5r^4,〇a f+78 之内’如所尖ί 52被接收在凹陷區域9丨 當中時,護套^ °當_50被插入到内孔401 面僅在當護套Μ之設以 表面_㈣;t於凹陷區域98之相對應料 之橫截面形狀略微陷區域98之内。護套^ 允許護套54與感成哭;:;插件的凹陷區域98之開口,w 研磨或接觸到感:器 的粒子,或亦可能減少敎轉b夂Α有。H儿積製卷 端52被插人到凹陷區域 奇二二=測量a 口 82的停止表面% 中直到濩套54之母個知 5〇進-步插入到内孔 ?二端面96 ’藉此防止熱· 口 82之停止表φ 86接 2 一具體,施例中’當七 維持與凹陷區域98的橋6 | —端面%時,測量尖端 體實施例中,當切口 82 、面102空間相隔。在另1 時,測量尖叫合凹:=:r表 201115126 給定凹陷區域98之縱向表面loo的長度以及接頭78 相對於凹卩曰區域98之橫向表面1 〇2之預定位置,切口 82 之設置表面84的長度與停止表面86相對於測量尖端52 之位置可被決定,以確保接頭78定位在内孔4〇a之内適 當處。另外,給定切口 82之設置表面84的長度與停止表 面86的位置,以及接頭78相對於凹陷區域98之橫向表 面102的預定位置,凹陷區域98之縱向表面1〇〇的長度 可被決定,以確保接頭78定位在内孔4〇a之内適當處二 熟此技藝者應瞭解接頭78相對於内孔4〇a 位置之決定,可藉峨罐域98认找m 之切口 f2的尺寸來完成。熱耦50之外部對準特徵7〇構 形成確實地定位熱耦50之接頭78在内孔4〇a之内,以最 適化熱麵5G之料性。減技藝者必姆解外部對 ,70構形成確實地定位位在沿著熱耦% 置 處之一❹個細78。 ^仕订位置 旦,,、^2?^施例中,熱耗5〇包括形成在鄰接於測 8AH >54當巾㈣個外料準特徵70,如圖 主8B所不。每個外部對準特徵7〇包括切口 切口 82之-者係以相對於其它切口 82之 开: ,,的具體實施例中,切σδ2係以其 角疋向。在另-具體實施财(未示出),切口 82係以 之一非直角定向。在又另-具體實施例中,如圖8C至、^ 戶^ ’ _ 50包括形成在鄰接於測量尖端52 對準特㈣。每個外部對準特_包括 切口 82」其中切σ 82係以於其間實f平行的 在所例不的具體實施例中,切口 82係以實 向。在圖5A至5B所示的具體實施例中,切口 82伤= 質平行的方式定向,另外以實質垂直方式定向。在又另二 201115126 未示出)’平行切σδ2係以-非水平與非垂 執搞50%^°ΐ另一具體實施例尹,如圖8Ε至8F所示, ”、、2 5〇匕括形成在鄰接於測量尖端&之護套Μ者中的 料特徵%。每個外部對準特徵% “切口 質上ίΓΠ2之每一者係以相對於相鄰切口 ^ 可护向。熟此技藝者必須瞭解任何數目的切口.82 产^蒦套^4 2當中以產生—橫截面形狀,其不同於 化者;套54之長度其餘部份的横截面形狀。 在又另一具體實施例中,如圖 5〇包括形成在鄰接於測量尖 之 =不,熱耦 部對準特料7η 鳊52之護套54當中的單一外 或按鍵構件11〇。按二準特徵70形成為一指標 伽之封閉端88中凹陷區域% t應中m器環30之内孔 件11〇可與護套54 —整成形,似的形狀。按鍵構 護套54之外部表面,以L或按鍵構件1㈨可附著於 的具體實施例中,護套54::::特徵70。 之外部表面徑向地向外延伸的=^^使,護套54 伸超出沿著護套54之其餘部份之建外^。110不會棱向地延 在另一具體實施例中’如圖81^ ° 包括形成在鄰接於該測量尖端 至81所示’熱搞50 對準特徵70。在一具體實施^ 54當中的兩個外部 為相同特徵。在另-具體實施 卜^對準特徵7〇形成 成為不同的特徵,如圖81至8j 夕4對準特徵70形 技藝者必須瞭解當多個外部 2之具體實施例。熟此 中時,外部對準特徵7〇可形发政70形成於護套54當 等特徵可形成為不同型式的特徵:^同型式的特徵,或該 中,外部對準特徵7〇中之— 所例不的具體實施例 準特徵70之另一者形成為為切口 82,而外部對 曰不構件或鍵孔丨12。鍵孔; 201115126 112藉由移除在一局部化位置 份所形成’以形成-凹陷於縣1 54之厚度的-部 構形成接收形成在内孔40a之封其中鍵孔112 突出(未示出> 熟此技藝者必須瞭 (,至3)處的〜 形成於護套54當中咬在豆上的j 。卩對準特徵70可為 準特徵7〇構形成持續地確實定位使传外部對 熱耗被插入其中的感受器環3.Q之内孔4加之内頭78於該 在-具體實施例中’熱輕5G包括内部對準特徵 内:位該_之至少—接頭78在護套54之 内以取適化熱耦5〇之位置準確性。在雙接 =體中實:例中,如圖9所示,熱㈣包括兩= 頁78,其中第一接頭78a形成在支撐構 又棕構件56的長度之一位置處,並盥第— 观位在形成於支撐構件56之第=6相:第 一遂端80之間一位置處的支撐構件56當中的掣子114之 内。因為第二接頭78b位在偏離支撐構件%之中心線的 位置處’當安裝在感受!!環3〇㈣第二接頭.之位置 會影響由第二接頭7 8 b所測量的溫度之準確性。例如,如 果熱耦50設置在内孔4〇a之内,使得第二接頭7肋之方 向遠離孔徑44與基板34(圖丨至2),所測量的溫度比如果 熱耦50設置在内孔40a之内時要較不準確,使得第二接 頭78b之方向朝向孔徑44與基板34。熱耦5〇之内部對準 特徵68構形成當熱耦50安裝在内孔4〇a中時,確保接頭 78a、二8b相對於護套54之適當對準,使得接頭7如'、7沘 係確實地定位在内孔40a之内的預定位置處。 201115126 在一具體實施例中,如圖10所示,内部對準特徵68 包括螺帽62、帽蓋64、第一定位構件116、第二定位構件 118與軸環120。螺帽62為一圓柱構件,其具有通過其縱 向中心線而形成之孔徑122。孔徑122構形成接收並^繞 護套54。螺帽62構形成可移除式地附著於帽蓋64。^二 具體實施例中,帽蓋64構形成接收護套54之開口 72。 軸環120構形成在其中接收支撐構件56,並位在鄰接 於護套54的開口 72 ’如圖9至1〇所示。當組裝時,軸環 120,形成接觸彈簧128的一端,其中彈簧128的另一^ 接^第二軸環130,其可操作地附著於支撐構件56,並與 轴裱120相隔。軸環120提供一實質固定的基座,彈菩128 由此基座延伸。彈簧128構形成提供一偏壓力(biasing force)到第二軸環13〇之上,藉此朝向護套54之測量尖端 52偏壓支撐齡%。支撐構件56朝向測量尖端%被偏 壓,以確保第-接帛78M皮適當地定位在測量尖端52處。 支撐構件56被允許以縱向方式自由地熱膨脹及收縮通過 軸環120。軸環12〇構形成安裝在護套%之開口 72盥 蓋64之間。 〃 »在一具體實施例中,第一定位構件116可操作地連接 至護套54之外部表面,如圖9至1〇所示。在一具體實施 例中,第一定位構件116與護套54係一體成形。在另一 具體貝施例中’第-定位構件116與護套54分開形成, 並固定地附著於護套54。在—具體實施例中,第—定位構 件1二以,護套54相同的材料形成。在另一具體實施例 中,第-定位構件116以與護套54不同的材料形成。第 -定位構件116包括環124,與自環124延伸的停止構件 126。在-具體實施例中,環124為―圓柱環,其具有沿 著其縱向巾心線所形成的—孔徑。在環丨24巾的孔徑構形 18 201115126 成環繞並接觸護套54之外部表面。熟此技藝者必須瞭解 環124可形成為任何形狀,並具有構形成接收護套的 一孔徑。環124的外徑大於護套54的外徑,使得環124 由護套54之外部表面徑向地向外延伸。 在一具體實施例中,停止構件126自環124朝向護套 54之開口 72縱向地向後延伸,如圖9至1〇所示。停止構 件126為一拉長的實質線性構件,其自護套54之外部表 面徑向地向外延伸。在一具體實施例中,停止構件126自 環124延伸到形成開口 72之護套54的後緣。在另—具體 實施例中,停止構件126僅延伸環124與護套54之^緣 之間的距離之一部份。在一具體實施例中,停止構件 自護套54之外部表面徑向地向外延伸與環124相同的距 離。在另一具體實施例中,停止構件126自護套54的外 部表面徑向地向外延伸比環124 一較大或較小的距離。當 組裝時,螺帽62完全地環繞第一定位構件116之至丨、二 部份。 乂 在一具體實施例中 .疋伹構件118可操作地連4 至支撐構件56之第二遠端80,如圖9至1〇所示。當支j 構件56在該縱向方向上熱膨脹及收縮時,第二定:構{ 118構形成以一相對應方式沿著支撐構件% :縱向中八 線平移。在一具體實施例中,第二定位構件118與 件56分開形成,並在稍後與其可操作式地連接y 具體實施例中’第二定位構件118肖支撐構件兄 形。在-具體實施例中,第二定位構件118與支撐構件5 以相同材料形成。在另一具體實施例中,第二定位構件Η 與支撐構件56以不同材料形成。第-定你达 丨〆乃乂币—疋位構件丨18構幵 成被接收在帽蓋64之内 · 在一具體實施例中’帽蓋64為一拉長的 概略圓 201115126 構件,其具有第一端132與相對的第二端134,如圖9至 10所示。帽蓋64包括螺紋136,其形成在鄰接於第一端 132的外部表面上。螺紋136對應於螺帽62之一螺紋的内 部表面(未示出)’以螺紋式地附著螺帽62與帽蓋64。熟 此技藝者必須瞭解到帽蓋64可形成為任何足以輔助確實 地疋位熱輕50之接頭78的任何形狀,而可附著於螺帽 62°熟此技藝者亦必須瞭解螺帽62與帽蓋64可由任何其 它附著機制所附著。帽蓋64包括第一凹陷區域138與第 二凹陷區域140,其中第一與第二凹陷區域138、140彼此 相隔。在一具體實施例中,第一凹陷區域138形成為沿著 帽蓋64之縱向長度的一部份之一拉長的内孔。第一凹陷 區域138自帽蓋64的第一端132縱向地向内延伸。第一 凹陷區域138包括停止表面142,其定義第一凹陷區域138 的縱向末端。帽蓋64另包括溝槽144,其通過帽蓋64的 徑向厚度(radial thickness)所形成,並以一縱向方式自第一 端132延伸。溝槽144自帽蓋64的外部表面徑向地向内 延伸到第一凹陷區域138的外部表面。溝槽144構形成當 熱輕50被組裝時,接收第一定位構件116之停止構件 126。溝槽144之形狀實質上對應於停止構件126的形狀, 使得當停止構件126被接收在溝槽144之内時,防止第一 定位構件116相對於帽蓋64旋轉。因為第一定位構件116 相對於帽蓋64維持實質旋轉式固定,護套54同樣地相對 於帽蓋64維持實質旋轉式固定,其係由於第一定位構件 116被固定地連接至護套。 在一具體實施例中,第一凹陷區域138由網狀物M6 與第一凹陷區域140分開,如圖丨0所示。第二凹陷區域 140自帽蓋64的外部表面徑向地向内延伸。第二凹陷區域 H0構形成接收支撐構件56之第二遠端8〇與附著於其上 20 201115126 件118°第二凹陷區域14G之橫戴面形狀對 :,如》定位構件118之橫戴面形狀。例 =果弟二定位構件118形成為一立方塊,其具 形的橫截面形狀,第二凹陷區域140形成且有一撫 :::;^^ - 陷區域140的橫戴面形狀對應於第 = 118之橫載面形狀’以防止支撐構件%在組 第:定盖64旋轉。第二凹陷區域140構形成防止 8旋轉,而允許第二定位構件⑽與支稽 之:二:向地熱膨脹或收縮。因此’第二凹陷區域⑷ 2向長度足以確保支禮構件56與第二定位構件ιΐ8 =以該縱向方式自由地熱膨脹與收縮,而使得第二定 冓牛丄18不會接觸到第二凹陷區域14〇之縱向末端。 虽熱耗50被組褒時’如圖9至1〇所示,包 頭78a、78b之支撐構件56被插入到護套54之+ 部被定位在其測量尖端52處護套54的 孩面處。軸環120係環繞支撐構件% 的 ==開口72之内。護套54之測量二 一通過螺帽62之孔徑122,且螺帽62係 的長度滑動’直到第-定位構件116之環12^妾觸 62中該内孔的一端面(未示出)。帽蓋 槿 56設置’使得第二定位構件m位在第= = 4位構件Μ之停止構件126被接收在帽 64的溝槽144之内,直難環⑽ 巧域則停止表面142。螺帽62係螺紋-式地:於| 藉此將護套士54與支揮構件56固定在—起。當% 盍二,軸環丨20朝向其第-遠端76沿著: 按構件56平移’稭此使得彈簀128與接頭财 : 201115126 測里大端52處護套54的内部表面而定位。 如圖9至10所示,内部對準特徵68構形成確實地定 岔,麵5〇之護* 54之内的接頭78a、78b’以提供在感受 30之内孔之内的接頭78&、7肋之確實定位與對 準在所例不的具體實施例中,第二接頭m設置在掣子 其形成於支撐構件56之外部表面當中,藉此使得 ^ 頭78b相對於支撐構件%之縱向中心線進行偏 1杯所例不的具體實施例中,當支撐構件56於組裝期 54時’支撐構件56被旋轉,使得掣子Μ ^ ^ 8b實質上縱向地對準於第一定位構件116之 5 56在在另一具體實施例中(未示出),當支撑構 與第二接_以相對於彼 二二尚冰件—接頭爲之方向自該熱耦的縱向中心線 係與停止構件126自護套54的外部表面 == 向相反。熟此技藝者必須瞭解第-定 78b 構件S 裝時’停止構件126與第一定位 轉。另外二的罪&關係防止帽蓋64相對於護套54旋 防止支上hit防止帽蓋64相對於護套54旋轉,同樣地 構於護套54旋轉,其係由於第二定位 之間的= 部徑向表面與帽蓋64的第二凹陷區域140 ^ =關係。因為防止支撐構件56相對於護套^旋 定位爲相對於護套54維持實質上固定在一預 内部對準特徵仍相對於停止構件⑶確 到;受: sm. 知乐二接頭78b相對於停止構件126為固定二J可 22 201115126 地定位接頭78a、78b在内孔4如 中,帽蓋64另可包括形成在第二内。在—具體實施例 指示第二接頭78b相對於護套&鸲134上的一記號,以 確實地定位第二制78b;^f ^位置,叫-步輔助 在一具體實施例中,熱耦5〇。4〇a之内。 68、僅至少一外部對準特徵7〇、J僅包括内部對準特徵 68、7〇之組合,以確實地定位二内部與外部對準特徵 環30之内孔4〇之内。例如,對個接頭78在感受器 熱耗50包括至少一外部對準特徵接頭熱轉50 (圖9), 接頭78a在感受器環30之内孔^ 7〇,以確實地定位第一 區域98之内。所例示的熱耦5〇的封閉端88處的凹陷 以確實地相對於護套54'定位第二^括内部對準特徵68, 知道第二接頭78b相對於内部;準操作者 置。熟此技藝者必須瞭解内部對忾" 、疋向與位 對準特徵先料,㈣= = = ? 套54相對於内孔4G旋轉。 料特徵70可防止護 ,然以上已說明本發明之較佳具體實施例,應瞭解本 ,明並不欠限,此1可在不背離本發明之情形下進行^ 3。本發明之feu由附屬申請專利範騎定義,且在字面 或等同性上’在該等申請專·圍之意義内出現的所有裝 置、程序與方法皆欲包含於其中。 又 【圖式簡單說明】 面圖 圖1為一半導體製程反應器之具體實施例的側面横截 圖2為一感受器環之具體實施例的底視圖; 圖3為圖2之感受器環的内孔之側面橫截面圖: 圖4為本發明的熱搞之具體實施例的側面橫截面圖; 201115126 圖 圖 5A為圖4之熱耦的測量尖端之放大的側面橫截面 圖5B為圖4之熱耦的測量尖端之放大的端视圖; 圖6A為一熱耦之測量尖端與一感受器環的内孔之 4 —外部對準特徵的具艚眚“丨之笙a = 為一熱耦之測量尖端與一感受器環的f 閉末端處-外部對準特徵的具體實施例之等角視圖; 的上•為圖6A^測量尖端與内孔處該外部對準特徵 μ士巧1八為—熱耦之測量尖端與-感受器環的内孔之抖 的上視圖; 之啦尖端與⑽賴外部對準特徵 之放=7圖財⑽料鮮龍讀_具體實施例 大端為具有圖8A之兩辦部料特__之放 之放具㈣個外部料特徵之熱㈣具體實施例 大端為財圖8C之兩個外部對準特徵的_之放 之放具有四個外部對準特徵之_的具體實施例 端視認㈣具有圖8E之四辦部料特__之放大 圖8G為具有一單一外邱 例之放大側視圖; 對準特徵之熱耦的具體實施 圖8H為具有圖8g之一單— 放大端視圖; 。卩對準特徵的熱耦之 實施例 ㈣為具有兩俯卜部鮮特徵之_的具體 24 201115126 之放大側視圖, 圖8J為具有圖81之兩個外部對準特徵的熱耦之放大 端視圖; 圖9為一熱耦的另一具體實施例的側面橫截面圖;及 圖10為圖9之熱耦的一内部對準特徵之具體實施例 的分解圖。 【主要元件符號說明】 10 半導體製程反應器 12 外殼 14 冷壁、單一基板反應腔室 16 反應空間 18 入口 20 出σ 22 加熱元件 24 基板支樓組合件 26 基板失持器 28 夾持器支撐構件 30 感受器環 32 感受器環支撐 34 基板 36 軸件 38 管件 40 内孔 40 盲孔内孔 40 側内孔 25 201115126 40 後内孔 40a 内孔 42 尾緣 42 後表面 44 孔徑 46 前緣 50 熱耗 52 測量尖端 54 護套 56 支撐構件 58 第一線 60 第二線 62 螺帽 64 帽蓋 66 插頭 68 内部對準特徵 70 外部對準特徵 72 開口 74 内孑L 76 第一遠端 78 接頭 78a 第一接頭 78b 第二接頭 80 第二遠端 82 切口 26 201115126 84 設置表面 86 停止表面 88 封閉端 90 肋部 92 插件 94 第一端面 96 第二端面 98 凹陷區域 100 縱向表面 102 橫向表面 104 方塊 106 插頭 108 掣子 110 指標或按鍵構件 112 鍵孔 114 掣子 116 第一定位構件 118 第二定位構件 120 軸環 122 孔徑 124 環 126 停止構件 128 彈簧 130 第二軸環 132 第一端 27 201115126 134第二端 136螺紋 138第一凹陷區域 140第二凹陷區域 142停止表面 144溝槽 146網狀物 28

Claims (1)

  1. 201115126 七、申請專利範圍: 1. -種可設置在-感受||環_絲,該 一護套’其可設置在該感受器環内;‘、、、轉包含 至少一熱耦接頭,其位在該護套内;及 用於相對 一内部對準特徵與一外部對準特徵中至少一 於該感受器環而確實地定位或對準該至少一‘二 特徵包含 2. 如申請專利範圍第工項之熱輕, 一形成在該護套當+的切口。〜4销準 3·=請S第2項之•其中該切,設置表 特徵包含 I 件其㈣外部對準 5. 其中該外部對準 特徵包含 6+ ::請專利範圍第1項,,另包含至少·部對準 兩個外部對 =請專利範圍第6項之細, 準特徵之每一去拟士〒5亥等至少 者形成為相同型式的特徵。 如申請專利範圍第6項之軸 準特徵中至少—者盥1 /、该等至少兩個外部對 …、苷馮不同型式的特徵。 29 3 8. 201115126 9.如申請專利範圍第1項之熱耦,其中該護套具有一第一橫 截面形狀,而形成於該護套當中的該外部對準特徵提供不 同於該第一橫戴面形狀的一第二橫戴面形狀。 10· —種用於在一感受器環的内孔之内進行溫度測量的熱 輛’該熱搞包含: 一護套,其具有一位在其一末端處的測量尖端,與一位在 其一相對末端處的開口; 〃 一支撐構件,其設置在該護套之内; 至少一熱耦接頭,其位在該護套内;及 位在鄰近於·量尖端的—外部解贿與位在鄰近於 該開口的-内部對準特徵中至少—者,其中該外部對準特徵與 該内部對準特徵中至少-者獅成確實地定位簡至+ 一熱麵接頭在該感受器環的該内孔之内。 夕 11 定位構件 .^申請專觀圍第H)項之餘,其中該内 括一螺帽、一帽蓋、一第一定位構件及—第 寺徵〇 其中該帽蓋可附著於該螺帽上。 12. 如申請專利範圍第1〗項之熱H — 固定地連接至該縣,且料—定位構位構件係 該帽蓋中—溝槽之内,使 付接收在形成於 以防止該護套相對於,位構件接觸該溝槽, 13.如申料概_丨丨項之_,其中科二定位構件係 30 201115126 固定地連接雜支撐構件的—末端,— 在形成於該帽蓋中-凹陷區域之内,且二:疋位構件可位 觸該凹陷區域,以防止該支撐構件相對件接 14·如申請專利範圍第ίο項之熱_,豆 形成旋轉地對準該至少-_接頭於該徵構 15·=請專利範,0項之餘,其中該 形成可平移崎準該至少-__於軸孔H特徵構 16·=請專利範圍第10項之_,其中該護套包括 域面形狀,而該外部對準特徵在 第一橫截面形狀的-第二橫截面形狀。套上$成不同於该 Π.如中請專職圍第16項之絲,其中 接收在該内孔之-末端處一凹陷區 徵了 :尺寸確實地縱向定位該至少—熱心該:準 18·於在一半導體製程反應器内測量溫度的系統,該系 統包含· 一感受器5衣,在其中形成有至少一 -封閉端,並形成-第-橫截面職;内孔’其中該内孔包括 -凹,_ 1形成在該封閉端處,其中該凹陷區域在該 截面形狀’且該第二橫戴面形狀不同於該 第一橫戴面形狀; 31 2〇1115126 一熱耦,其可設置在該内孔内,該熱耦包含: 一護套,其具有一位在其一末端處的測量尖端,與一位 在其一相對末端處的開口; 至少一熱耦接頭,其位在該護套内;及 位在鄰近於該測量尖端的-外部對準特徵與位在鄰近 於該開口的-内部對準特徵中至少—者,財該 特徵與該内部對準特徵中至少一者構形成確實地定位 對準該至少-絲接頭於_受器_軸孔之内。一 19. 2〇. ^申料利範圍第19項之系統,其中該外部對準·勺 含一切口,其軸—設置表面與-停止表面率特徵包 該内孔之内概確貫地縱向定位該至少-熱輕接頭於 22.如申請專利範圍第1§項 括一螺帽、—帽葚、—'」、、·死,其中該内部對準特徵包 且該帽蓋可脱離:附著:^:件及-第二定位構件, 32 201115126 23. 如申請專利範圍第22項之系統,其中該第一定位構件防 止該護套相對於該帽蓋旋轉。 24. 如申請專利範圍第23項之系統,其中該第二定位構件防 止該至少一熱耦接頭相對於該帽蓋旋轉,使得該内部對準 特徵確實地旋轉式對準該至少一熱耦接頭於該内孔之内。
TW099114329A 2009-05-06 2010-05-05 熱耦 TWI480527B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/436,315 US8100583B2 (en) 2009-05-06 2009-05-06 Thermocouple

Publications (2)

Publication Number Publication Date
TW201115126A true TW201115126A (en) 2011-05-01
TWI480527B TWI480527B (zh) 2015-04-11

Family

ID=43050786

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099114329A TWI480527B (zh) 2009-05-06 2010-05-05 熱耦

Country Status (4)

Country Link
US (1) US8100583B2 (zh)
CN (1) CN102439712B (zh)
TW (1) TWI480527B (zh)
WO (1) WO2010129431A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104296887A (zh) * 2013-07-17 2015-01-21 中微半导体设备(上海)有限公司 一种实现稳定测温的测温装置及其所在的半导体设备

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8360636B2 (en) * 2009-07-02 2013-01-29 Renesas Electronics America Inc. Temperature detection and reporting system and method in power driving and/or consuming system
JP5766117B2 (ja) * 2009-07-10 2015-08-19 本田技研工業株式会社 温度測定機能を持つリチウムイオン電池の釘刺し試験具
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN103698029B (zh) * 2013-11-29 2016-07-06 北京七星华创电子股份有限公司 一种热偶固定装置及温度测量装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN105403326A (zh) * 2015-11-11 2016-03-16 无锡市路华肥料科技有限公司 肥料用温度检测器
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11124879B2 (en) * 2017-11-13 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for upper susceptor rings
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10753807B2 (en) 2018-01-19 2020-08-25 Te Wire & Cable Llc Thermocouple termination/closure and method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN110739252B (zh) * 2019-11-27 2021-09-17 北京北方华创微电子装备有限公司 半导体加工设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102311717B1 (ko) * 2019-12-13 2021-10-13 (주)울텍 급속 열처리장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7212006B2 (ja) * 2020-06-12 2023-01-24 日本碍子株式会社 熱電対ガイド及びセラミックヒータ
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113008399A (zh) * 2021-01-26 2021-06-22 松诺盟科技有限公司 高温耐腐蚀热电偶及其加工方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US154695A (en) * 1874-09-01 Improvement in processes of brightening tobacco
US2059480A (en) * 1933-09-20 1936-11-03 John A Obermaier Thermocouple
US2266416A (en) * 1939-01-14 1941-12-16 Western Electric Co Control apparatus
US2563931A (en) * 1946-04-02 1951-08-14 Honeywell Regulator Co Rate responsive thermocouple
US2660061A (en) * 1949-03-05 1953-11-24 Dominion Eng Works Ltd Immersion type thermocouple temperature measuring device
US3011006A (en) * 1958-11-10 1961-11-28 Carborundum Co Process and apparatus for measuring high temperatures
US3038951A (en) * 1961-01-19 1962-06-12 Leeds & Northrup Co Fast acting totally expendable immersion thermocouple
US3263502A (en) * 1964-01-21 1966-08-02 Redwood L Springfield Multiple thermocouple support
FR1408266A (fr) 1964-06-30 1965-08-13 Realisations Electr Et Electro Prise de raccordement pour thermocouples
US3588192A (en) * 1969-06-02 1971-06-28 Trw Inc Hydraulic skid control system
CA1002299A (en) * 1971-06-24 1976-12-28 William H. Trembley Installation tool
JPS5132766B2 (zh) * 1972-07-25 1976-09-14
US4217463A (en) * 1978-03-13 1980-08-12 National Distillers And Chemical Corporation Fast responsive, high pressure thermocouple
US4234449A (en) * 1979-05-30 1980-11-18 The United States Of America As Represented By The United States Department Of Energy Method of handling radioactive alkali metal waste
JPS5611329A (en) * 1979-07-09 1981-02-04 Nippon Kokan Kk <Nkk> Measuring method of melted metal temperature in vessel
US4355912A (en) * 1980-09-12 1982-10-26 Haak Raymond L Spring loaded sensor fitting
US4454370A (en) * 1982-09-07 1984-06-12 Wahl Instruments, Inc. Thermocouple surface probe
US4444990A (en) * 1982-09-08 1984-04-24 Servo Corporation Of America Heat sensing device
US4527005A (en) * 1984-03-13 1985-07-02 The United States Of America As Represented By The United States Department Of Energy Spring loaded thermocouple module
US4692556A (en) * 1984-06-29 1987-09-08 Electro-Nite Company Repeating temperature sensing immersion probe
US4592307A (en) * 1985-02-28 1986-06-03 Rca Corporation Vapor phase deposition apparatus
US4721534A (en) * 1985-09-12 1988-01-26 System Planning Corporation Immersion pyrometer
JPS62134936A (ja) 1985-12-05 1987-06-18 アニコン・インコ−ポレ−テツド 腐食耐性をもつたウエ−フア−・ボ−ト及びその製造法
US4721533A (en) * 1986-08-01 1988-01-26 System Planning Corporation Protective structure for an immersion pyrometer
US4749416A (en) * 1986-08-01 1988-06-07 System Planning Corporation Immersion pyrometer with protective structure for sidewall use
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPH0648217B2 (ja) * 1987-12-24 1994-06-22 川惣電機工業株式会社 溶融金属の連続測温装置
US4830515A (en) * 1987-12-28 1989-05-16 Omega Engineering, Inc. Mounting clip for a thermocouple assembly
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (ja) * 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
IT1227708B (it) * 1988-07-29 1991-05-06 Pomini Farrel Spa Dispositivo di rilevamento della temperatura del materiale contenuto entro un apparecchio chiuso.
US5158128A (en) * 1988-09-01 1992-10-27 Sumitec, Inc. Thermocouple for a continuous casting machine
US4934831A (en) * 1989-03-20 1990-06-19 Claud S. Gordon Company Temperature sensing device
US5360269A (en) * 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
EP0454846B1 (en) * 1989-11-22 1996-09-11 Nippon Steel Corporation Thermocouple-type temperature sensor and method of measuring temperature of molten steel
LU87693A1 (fr) * 1990-03-07 1991-10-08 Wurth Paul Sa Sonde de prise d'echantillons gazeux et de mesures thermiques dans un four a cuve
JP2780866B2 (ja) * 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5071258A (en) * 1991-02-01 1991-12-10 Vesuvius Crucible Company Thermocouple assembly
US5104514A (en) * 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
CN2101223U (zh) * 1991-06-10 1992-04-08 李国飞 热电偶温度计保护套管
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US5455069A (en) * 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5271967A (en) * 1992-08-21 1993-12-21 General Motors Corporation Method and apparatus for application of thermal spray coatings to engine blocks
US6235858B1 (en) * 1992-10-30 2001-05-22 Ppg Industries Ohio, Inc. Aminoplast curable film-forming compositions providing films having resistance to acid etching
DE4244189C2 (de) * 1992-12-24 1995-06-01 Busch Dieter & Co Prueftech Anlegetemperaturfühler
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5456761A (en) * 1993-07-15 1995-10-10 Alcan International Limited High temperature and abrasion resistant temperature measuring device
US5474618A (en) * 1994-04-19 1995-12-12 Rdc Controle Ltee Protective ceramic device for immersion pyrometer
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3137164B2 (ja) * 1994-06-02 2001-02-19 信越半導体株式会社 熱処理炉
DE4429825C1 (de) * 1994-08-23 1995-11-09 Heraeus Quarzglas Beschichtetes Bauteil aus Quarzglas
US5514439A (en) * 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5716133A (en) * 1995-01-17 1998-02-10 Applied Komatsu Technology, Inc. Shielded heat sensor for measuring temperature
US5791782A (en) * 1995-09-21 1998-08-11 Fusion Systems Corporation Contact temperature probe with unrestrained orientation
US5697706A (en) * 1995-12-26 1997-12-16 Chrysler Corporation Multi-point temperature probe
KR100212132B1 (ko) * 1996-04-24 1999-08-02 윤종용 횡형 확산로의 프로파일 열전대
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
EP0818671A3 (en) * 1996-07-12 1998-07-08 Isuzu Ceramics Research Institute Co., Ltd. A ceramic sheath type thermocouple
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5806980A (en) * 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5611265A (en) * 1996-09-13 1997-03-18 Ronci; Fernando F. Combination charbroiler and fryer with spinning food basket
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5910221A (en) * 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
EP0887632A1 (en) 1997-06-24 1998-12-30 Isuzu Ceramics Research Institute Co., Ltd. A ceramic thermocouple for measuring temperature of molten metal
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
WO1999023691A2 (en) * 1997-11-03 1999-05-14 Asm America, Inc. Improved low mass wafer support system
EP1029109B1 (en) * 1997-11-03 2007-09-26 ASM America, Inc. Long life high temperature process chamber
EP1036407A1 (en) * 1997-11-03 2000-09-20 ASM America, Inc. Method of processing wafers with low mass support
JPH11281497A (ja) * 1998-03-27 1999-10-15 Kawasaki Steel Corp 金属体内部の温度を測定するシース熱電対の取付け方法
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6257758B1 (en) * 1998-10-09 2001-07-10 Claud S. Gordon Company Surface temperature sensor
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
ATE342384T1 (de) * 2000-04-06 2006-11-15 Asm Inc Sperrschicht für glasartige werkstoffe
US6878906B2 (en) * 2000-08-30 2005-04-12 Ibiden Co., Ltd. Ceramic heater for semiconductor manufacturing and inspecting equipment
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US20030002562A1 (en) * 2001-06-27 2003-01-02 Yerlikaya Y. Denis Temperature probe adapter
US6658895B2 (en) * 2001-08-16 2003-12-09 Richter Precision, Inc. Carbon nitride coating for optical media discs
JP4157914B2 (ja) * 2002-03-20 2008-10-01 坂野 數仁 温度測定装置及び温度測定方法
US20030192435A1 (en) * 2002-04-11 2003-10-16 Mcnair John Duncan Cooking appliance
JP4231953B2 (ja) 2002-09-24 2009-03-04 ペガサスネット株式会社 耳孔式saw体温計及び該体温計による体温管理システム
JP3671951B2 (ja) * 2002-10-08 2005-07-13 住友電気工業株式会社 測温装置及びそれを用いたセラミックスヒータ
CN2577264Y (zh) * 2002-10-18 2003-10-01 段家龙 快速拆装热电偶
US6676290B1 (en) * 2002-11-15 2004-01-13 Hsueh-Yu Lu Electronic clinical thermometer
DE10328660B3 (de) * 2003-06-26 2004-12-02 Infineon Technologies Ag Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers
US20050101843A1 (en) * 2003-11-06 2005-05-12 Welch Allyn, Inc. Wireless disposable physiological sensor
JP2005172489A (ja) 2003-12-09 2005-06-30 Tokyo Yogyo Co Ltd 溶湯用測温プローブ
JP4698190B2 (ja) 2004-09-22 2011-06-08 川惣電機工業株式会社 測温装置
JP2006153706A (ja) 2004-11-30 2006-06-15 Taiyo Nippon Sanso Corp 測温体および気相成長装置
US20060275933A1 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Thermally conductive ceramic tipped contact thermocouple
JP5017950B2 (ja) * 2005-09-21 2012-09-05 株式会社Sumco エピタキシャル成長装置の温度管理方法
US7997795B2 (en) * 2006-05-02 2011-08-16 Watlow Electric Manufacturing Company Temperature sensors and methods of manufacture thereof
JP5027573B2 (ja) * 2006-07-06 2012-09-19 株式会社小松製作所 温度センサおよび温調装置
ATE474215T1 (de) * 2006-09-06 2010-07-15 Kistler Holding Ag Temperatursensor mit bearbeitbarer front
US7871198B2 (en) * 2007-02-26 2011-01-18 Battelle Energy Alliance, Llc High-temperature thermocouples and related methods
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US7651269B2 (en) * 2007-07-19 2010-01-26 Lam Research Corporation Temperature probes having a thermally isolated tip
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104296887A (zh) * 2013-07-17 2015-01-21 中微半导体设备(上海)有限公司 一种实现稳定测温的测温装置及其所在的半导体设备
CN104296887B (zh) * 2013-07-17 2017-04-05 中微半导体设备(上海)有限公司 一种实现稳定测温的测温装置及其所在的半导体设备

Also Published As

Publication number Publication date
CN102439712B (zh) 2014-12-10
US8100583B2 (en) 2012-01-24
US20100284438A1 (en) 2010-11-11
WO2010129431A2 (en) 2010-11-11
WO2010129431A3 (en) 2011-03-31
TWI480527B (zh) 2015-04-11
CN102439712A (zh) 2012-05-02

Similar Documents

Publication Publication Date Title
TW201115126A (en) Thermocouple
TWI439680B (zh) 熱電偶、可連接至熱電偶之支撐管之罩蓋、及溫度控制系統
TWI489526B (zh) 具有防護熱耦接頭之熱耦組件及具有此熱耦組件之溫度控制系統
TWI796394B (zh) 混合提昇銷
US7946762B2 (en) Thermocouple
EP2370996B1 (en) Thermocouple
TWI511222B (zh) 單件式的載台環以及反應器
KR101116510B1 (ko) 반도체 제조시 웨이퍼를 지지하는 홀더
TW200925317A (en) Thermocouple
TW201237376A (en) Methods and systems for in-situ pyrometer calibration
TW200907098A (en) Device for coating substrates disposed on a susceptor
TW201113510A (en) Smart temperature measuring device
TW200809171A (en) Insulation tube, temperature sensor assembly and thermometer