TW200604870A - Integrated circuit layout design methodology with process variation bands - Google Patents

Integrated circuit layout design methodology with process variation bands

Info

Publication number
TW200604870A
TW200604870A TW094114881A TW94114881A TW200604870A TW 200604870 A TW200604870 A TW 200604870A TW 094114881 A TW094114881 A TW 094114881A TW 94114881 A TW94114881 A TW 94114881A TW 200604870 A TW200604870 A TW 200604870A
Authority
TW
Taiwan
Prior art keywords
variations
integrated circuit
circuit layout
layout design
process variation
Prior art date
Application number
TW094114881A
Other languages
English (en)
Inventor
Robles Juan A Torres
Original Assignee
Mentor Graphics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=35385625&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TW200604870(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Mentor Graphics Corp filed Critical Mentor Graphics Corp
Publication of TW200604870A publication Critical patent/TW200604870A/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02TCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO TRANSPORTATION
    • Y02T10/00Road transport of goods or passengers
    • Y02T10/80Technologies aiming to reduce greenhouse gasses emissions common to all road transportation technologies
    • Y02T10/82Elements for improving aerodynamics

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
TW094114881A 2004-05-07 2005-05-09 Integrated circuit layout design methodology with process variation bands TW200604870A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US56884904P 2004-05-07 2004-05-07
US65583705P 2005-02-23 2005-02-23

Publications (1)

Publication Number Publication Date
TW200604870A true TW200604870A (en) 2006-02-01

Family

ID=35385625

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094114881A TW200604870A (en) 2004-05-07 2005-05-09 Integrated circuit layout design methodology with process variation bands

Country Status (5)

Country Link
US (4) US8799830B2 (zh)
EP (1) EP1747520B1 (zh)
JP (5) JP2007536581A (zh)
TW (1) TW200604870A (zh)
WO (1) WO2005111874A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI456423B (zh) * 2009-08-05 2014-10-11 Fujitsu Semiconductor Ltd 設計支援程式、設計支援裝置及設計支援方法
TWI461945B (zh) * 2009-01-29 2014-11-21 Synopsys Inc 實施使用下限距離之抽象化-精緻化技術的方法及裝置
TWI463346B (zh) * 2008-10-15 2014-12-01 Advanced Risc Mach Ltd 用於一積體電路之後佈線電源修改
TWI464433B (zh) * 2009-11-25 2014-12-11 Hon Hai Prec Ind Co Ltd 印刷電路板信號線分類排查系統及方法
US9659123B2 (en) 2014-10-17 2017-05-23 21, Inc. Systems and methods for flexibly optimizing processing circuit efficiency
TWI681479B (zh) * 2015-08-17 2020-01-01 德商果尼阿克有限公司 用於分析半導體晶圓之處理的方法及裝置
TWI739101B (zh) * 2019-05-07 2021-09-11 瑞昱半導體股份有限公司 Ic設計資料庫產生方法以及ic設計方法
TWI773401B (zh) * 2020-11-30 2022-08-01 大陸商深圳比特微電子科技有限公司 版圖設計的方法和積體電路、運算晶片和計算設備
CN116819906A (zh) * 2023-08-25 2023-09-29 深圳国微福芯技术有限公司 设计规则检查方法、光学临近修正方法

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US6931613B2 (en) * 2002-06-24 2005-08-16 Thomas H. Kauth Hierarchical feature extraction for electrical interaction calculations
WO2004034463A1 (ja) * 2002-10-10 2004-04-22 Fujitsu Limited レイアウト方法及び装置並びにそのプログラム及び記録媒体
EP1467294A3 (en) * 2003-04-04 2005-06-01 Interuniversitair Microelektronica Centrum Vzw Design method for electronic systems using library of hardware components with performance parameters and cost functions
US7124394B1 (en) * 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7698665B2 (en) 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
EP1747520B1 (en) 2004-05-07 2018-10-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7240305B2 (en) 2004-06-02 2007-07-03 Lippincott George P OPC conflict identification and edge priority system
EP1789895A1 (en) * 2004-08-31 2007-05-30 Freescale Semiconductor, Inc. Design rule checking system
US7302651B2 (en) * 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
US7269818B2 (en) * 2005-01-06 2007-09-11 International Business Machines Corporation Circuit element function matching despite auto-generated dummy shapes
US7617473B2 (en) * 2005-01-21 2009-11-10 International Business Machines Corporation Differential alternating phase shift mask optimization
US20060242618A1 (en) * 2005-02-14 2006-10-26 Yao-Ting Wang Lithographic simulations using graphical processing units
US8037429B2 (en) * 2005-03-02 2011-10-11 Mentor Graphics Corporation Model-based SRAF insertion
US20060199087A1 (en) * 2005-03-03 2006-09-07 Lucas Kevin D Method of making an integrated circuit by modifying a design layout by accounting for a parameter that varies based on a location within an exposure field
US7395516B2 (en) 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
US7712064B2 (en) * 2005-05-20 2010-05-04 Cadence Design Systems, Inc. Manufacturing aware design of integrated circuit layouts
US7458058B2 (en) * 2005-06-10 2008-11-25 Texas Instruments Incorporated Verifying a process margin of a mask pattern using intermediate stage models
US7797668B2 (en) * 2005-06-30 2010-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for optimally converting a circuit design into a semiconductor device
US8219940B2 (en) * 2005-07-06 2012-07-10 Semiconductor Insights Inc. Method and apparatus for removing dummy features from a data structure
DE102005036207A1 (de) * 2005-08-02 2007-02-22 X-Fab Semiconductor Foundries Ag Verfahren zum Entwurf von integrierten Schaltungen
US7568174B2 (en) * 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
US7921385B2 (en) 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
US7788627B2 (en) * 2005-10-03 2010-08-31 Luminescent Technologies, Inc. Lithography verification using guard bands
WO2007041701A2 (en) 2005-10-04 2007-04-12 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
WO2007044557A2 (en) 2005-10-06 2007-04-19 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US7266798B2 (en) * 2005-10-12 2007-09-04 International Business Machines Corporation Designer's intent tolerance bands for proximity correction and checking
WO2007050799A2 (en) * 2005-10-24 2007-05-03 Clearshape Technologies, Inc. Incorporating manufacturing variations in the analysis of integrated circuit design
US7503028B2 (en) * 2006-01-10 2009-03-10 International Business Machines Corporation Multilayer OPC for design aware manufacturing
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7640522B2 (en) * 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
KR100706813B1 (ko) * 2006-02-13 2007-04-12 삼성전자주식회사 반도체 장치의 패턴 배치 방법
US7712068B2 (en) 2006-02-17 2010-05-04 Zhuoxiang Ren Computation of electrical properties of an IC layout
WO2007100558A2 (en) * 2006-02-17 2007-09-07 Mentor Graphics Corporation Modeling for semiconductor fabrication process effects
TW200811684A (en) * 2006-02-17 2008-03-01 Mentor Graphics Corp Gate modeling for semiconductor fabrication process effects
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8245180B2 (en) * 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8300798B1 (en) 2006-04-03 2012-10-30 Wai Wu Intelligent communication routing system and method
US7487476B2 (en) * 2006-04-11 2009-02-03 International Business Machines Corporation Method for computing the sensitivity of a VLSI design to both random and systematic defects using a critical area analysis tool
US8136067B2 (en) * 2006-05-15 2012-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of design for manufacturing
US7754400B2 (en) 2006-05-26 2010-07-13 Sony Corporation Method for determining an acceptable reticle tolerance for a reticle used to produce an integrated circuit layout
US7503020B2 (en) * 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US8448096B1 (en) * 2006-06-30 2013-05-21 Cadence Design Systems, Inc. Method and system for parallel processing of IC design layouts
US7493590B1 (en) * 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
JP4256408B2 (ja) * 2006-07-20 2009-04-22 株式会社東芝 不良確率の算出方法、パターン作成方法及び半導体装置の製造方法
JP4762827B2 (ja) * 2006-08-22 2011-08-31 富士フイルム株式会社 電子アルバム生成装置、電子アルバム生成方法、および、そのプログラム
US10643015B2 (en) 2006-10-09 2020-05-05 Mentor Graphics Corporation Properties in electronic design automation
US7770142B1 (en) * 2006-10-30 2010-08-03 Cadence Design Systems, Inc. Modeling power management for an integrated circuit
US8056022B2 (en) 2006-11-09 2011-11-08 Mentor Graphics Corporation Analysis optimizer
US7966585B2 (en) 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
EP2097788A1 (en) * 2006-12-21 2009-09-09 Nxp B.V. A method and system for identifying weak points in an integrated circuit design
US7721237B2 (en) * 2006-12-29 2010-05-18 Cadence Design Systems, Inc. Method, system, and computer program product for timing closure in electronic designs
US7962866B2 (en) 2006-12-29 2011-06-14 Cadence Design Systems, Inc. Method, system, and computer program product for determining three-dimensional feature characteristics in electronic designs
US7827519B2 (en) 2006-12-29 2010-11-02 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
WO2008081227A1 (en) * 2007-01-05 2008-07-10 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit
US7802226B2 (en) * 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
US7799487B2 (en) 2007-02-09 2010-09-21 Ayman Yehia Hamouda Dual metric OPC
US7739650B2 (en) * 2007-02-09 2010-06-15 Juan Andres Torres Robles Pre-bias optical proximity correction
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US7689948B1 (en) 2007-02-24 2010-03-30 Cadence Design Systems, Inc. System and method for model-based scoring and yield prediction
US7725845B1 (en) 2007-02-24 2010-05-25 Cadence Design Systems, Inc. System and method for layout optimization using model-based verification
US7707528B1 (en) * 2007-02-24 2010-04-27 Cadence Design Systems, Inc. System and method for performing verification based upon both rules and models
US7574682B2 (en) 2007-02-28 2009-08-11 Freescale Semiconductor, Inc. Yield analysis and improvement using electrical sensitivity extraction
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
JP2008258361A (ja) * 2007-04-04 2008-10-23 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
EP2153376B1 (en) * 2007-05-23 2011-10-19 Nxp B.V. Process-window aware detection and correction of lithographic printing issues at mask level
US8713483B2 (en) 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks
CN101785011A (zh) * 2007-06-27 2010-07-21 凯迪斯设计系统公司 利用可制造性模型的鲁棒设计
US8645896B1 (en) * 2007-06-28 2014-02-04 Dcg Systems Inc Method to transfer failure analysis-specific data between design houses and fab's/FA labs
US7647569B2 (en) * 2007-08-01 2010-01-12 Micron Technology, Inc. Systems, methods, and computer-readable media for adjusting layout database hierarchies for more efficient database processing and storage
US7805699B2 (en) * 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7966586B2 (en) * 2007-12-07 2011-06-21 Cadence Design Systems, Inc. Intelligent pattern signature based on lithography effects
US7904853B1 (en) 2007-12-27 2011-03-08 Cadence Design Systems, Inc. Pattern signature
US8358828B2 (en) * 2007-12-28 2013-01-22 Cadence Design Systems, Inc. Interpolation of irregular data in a finite-dimensional metric space in lithographic simulation
US8296691B2 (en) * 2008-01-08 2012-10-23 International Business Machines Corporation Methodology for improving device performance prediction from effects of active area corner rounding
US7979815B2 (en) * 2008-01-08 2011-07-12 International Business Machines Corporation Compact model methodology for PC landing pad lithographic rounding impact on device performance
US7984395B2 (en) * 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US20090187867A1 (en) * 2008-01-22 2009-07-23 Lawrence Jay A Techniques for Verifying Error Detection of a Design Rule Checking Runset
US7861195B2 (en) * 2008-01-30 2010-12-28 Advanced Mirco Devices, Inc. Process for design of semiconductor circuits
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
US7761178B2 (en) * 2008-06-18 2010-07-20 Tokyo Electron Limited Automated process control using an optical metrology system optimized with design goals
US8060843B2 (en) * 2008-06-18 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Verification of 3D integrated circuits
KR101761530B1 (ko) 2008-07-16 2017-07-25 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
JP5374727B2 (ja) * 2008-07-16 2013-12-25 ルネサスエレクトロニクス株式会社 リソグラフィシミュレーション装置、ならびにリソグラフィシミュレーションプログラムおよびそれを使用した半導体装置設計製造方法
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US7992114B1 (en) * 2008-08-19 2011-08-02 Magma Design Automation, Inc. Timing analysis using statistical on-chip variation
US8136062B2 (en) 2008-08-28 2012-03-13 International Business Machines Corporation Hierarchy reassembler for 1×N VLSI design
US8122399B2 (en) 2008-08-28 2012-02-21 International Business Machines Corporation Compiler for closed-loop 1×N VLSI design
US8132134B2 (en) 2008-08-28 2012-03-06 International Business Machines Corporation Closed-loop 1×N VLSI design system
US7966598B2 (en) * 2008-08-29 2011-06-21 International Business Machines Corporation Top level hierarchy wiring via 1×N compiler
US8141016B2 (en) * 2008-08-29 2012-03-20 International Business Machines Corporation Integrated design for manufacturing for 1×N VLSI design
US8156458B2 (en) * 2008-08-29 2012-04-10 International Business Machines Corporation Uniquification and parent-child constructs for 1xN VLSI design
US8146023B1 (en) * 2008-10-02 2012-03-27 Kla-Tenor Corporation Integrated circuit fabrication process convergence
US20100107130A1 (en) * 2008-10-23 2010-04-29 International Business Machines Corporation 1xn block builder for 1xn vlsi design
WO2010063827A1 (en) * 2008-12-05 2010-06-10 Micronic Laser Systems Ab Gradient assisted image resampling in micro-lithographic printing
JP2010160787A (ja) * 2008-12-11 2010-07-22 Jedat Inc パラメータ情報作成システム、歩留まり算出システム、プログラム及び記録媒体
US20110145772A1 (en) * 2009-05-14 2011-06-16 Pikus Fedor G Modular Platform For Integrated Circuit Design Analysis And Verification
WO2011017552A1 (en) * 2009-08-05 2011-02-10 Kovio, Inc. Print compatible designs and layout schemes for printed electronics
US8698321B2 (en) 2009-10-07 2014-04-15 Qualcomm Incorporated Vertically stackable dies having chip identifier structures
US8367430B2 (en) * 2009-10-07 2013-02-05 GlobalFoundries, Inc. Shape characterization with elliptic fourier descriptor for contact or any closed structures on the chip
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8448110B2 (en) * 2009-11-24 2013-05-21 International Business Machines Corporation Method to reduce delay variation by sensitivity cancellation
US9411647B2 (en) 2010-01-22 2016-08-09 Qualcomm Incorporated Hierarchical routing and interface selection for multi-processor multimode network devices
US8551283B2 (en) 2010-02-02 2013-10-08 Apple Inc. Offset control for assembling an electronic device housing
US20120011480A1 (en) * 2010-05-25 2012-01-12 Sridhar Srinivasan Logic-Driven Layout Verification
US8594963B2 (en) * 2010-09-01 2013-11-26 Macronix International Co., Ltd. In-line inspection yield prediction system
US8438526B2 (en) * 2010-09-23 2013-05-07 Texas Instruments Incorporated Method for minimizing transistor and analog component variation in CMOS processes through design rule restrictions
US8381141B2 (en) * 2010-10-28 2013-02-19 International Business Machines Corporation Method and system for comparing lithographic processing conditions and or data preparation processes
US20120110531A1 (en) * 2010-10-28 2012-05-03 Qualcomm Incorporated Defect and yield prediction for segments of an integrated circuit
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8453103B2 (en) 2010-12-03 2013-05-28 Synopsys, Inc. Real time DRC assistance for manual layout editing
US8677297B2 (en) 2010-12-03 2014-03-18 Synopsys, Inc. Low-overhead multi-patterning design rule check
US8448097B2 (en) * 2010-12-03 2013-05-21 Synopsys, Inc. High performance DRC checking algorithm for derived layer based rules
US8352887B2 (en) 2010-12-03 2013-01-08 Synopsys, Inc. High performance design rule checking technique
US8448120B2 (en) * 2011-05-09 2013-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. RC extraction for single patterning spacer technique
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US8589844B2 (en) * 2012-02-09 2013-11-19 GlobalFoundries, Inc. Methods for analyzing design rules
US8806391B2 (en) 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US9158883B2 (en) 2012-08-08 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. System for designing a semiconductor device, device made, and method of using the system
US8584066B1 (en) * 2012-09-04 2013-11-12 GlobalFoundries, Inc. System and method for generating a wire model
US8739076B2 (en) 2012-09-11 2014-05-27 Synopsys, Inc. Method and apparatus for process window modeling
US9330223B2 (en) 2012-09-28 2016-05-03 International Business Machines Corporation Optical rule checking for detecting at risk structures for overlay issues
US9171112B2 (en) 2012-12-07 2015-10-27 Synopsys, Inc. Semiconductor hold time fixing
US8975195B2 (en) * 2013-02-01 2015-03-10 GlobalFoundries, Inc. Methods for optical proximity correction in the design and fabrication of integrated circuits
US9122160B2 (en) * 2013-03-15 2015-09-01 Globalfoundries Singapore Pte. Ltd. Method and apparatus for performing optical proximity and photomask correction
US9081919B2 (en) * 2013-03-15 2015-07-14 Globalfoundries Singapore Pte. Ltd. Design-for-manufacturing—design-enabled-manufacturing (DFM-DEM) proactive integrated manufacturing flow
US20160147934A1 (en) * 2013-06-21 2016-05-26 California Institute Of Technology Determination of electronic circuit robustness
US9064078B2 (en) * 2013-07-30 2015-06-23 Globalfoundries Inc. Methods and systems for designing and manufacturing optical lithography masks
EP3058496A4 (en) * 2013-10-17 2017-07-12 Plethora Corporation Method for implementing design-for-manufacturability checks
WO2015058147A1 (en) 2013-10-17 2015-04-23 Plethora Corporation Method for quoting part production
US9286431B2 (en) * 2013-10-31 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Oxide definition (OD) gradient reduced semiconductor device and method of making
KR102175464B1 (ko) 2014-04-08 2020-11-06 삼성전자주식회사 반도체 집적 회로
US10185799B2 (en) 2014-04-22 2019-01-22 Mentor Graphics Corporation Verification of photonic integrated circuits
US9311442B2 (en) * 2014-04-25 2016-04-12 Globalfoundries Inc. Net-voltage-aware optical proximity correction (OPC)
US9311443B2 (en) * 2014-06-17 2016-04-12 Globalfoundries Inc. Correcting for stress induced pattern shifts in semiconductor manufacturing
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
US10444734B2 (en) 2014-08-22 2019-10-15 Mentor Graphics Corporation Manufacture of non-rectilinear features
US9740092B2 (en) * 2014-08-25 2017-08-22 Globalfoundries Inc. Model-based generation of dummy features
US10452793B2 (en) * 2014-08-26 2019-10-22 International Business Machines Corporation Multi-dimension variable predictive modeling for analysis acceleration
US10387596B2 (en) 2014-08-26 2019-08-20 International Business Machines Corporation Multi-dimension variable predictive modeling for yield analysis acceleration
KR102084048B1 (ko) 2014-10-02 2020-03-03 에이에스엠엘 네델란즈 비.브이. 어시스트 피처들의 규칙-기반 배치
US9594867B2 (en) * 2014-10-30 2017-03-14 Synopsys, Inc. DRC-based hotspot detection considering edge tolerance and incomplete specification
US9466604B2 (en) * 2014-11-13 2016-10-11 Globalfoundries Inc. Metal segments as landing pads and local interconnects in an IC device
US9378315B1 (en) * 2014-12-11 2016-06-28 Excelio Technology (Shenzhen) Co., Ltd. Method for semiconductor process corner sweep simulation based on value selection function
KR20160078032A (ko) * 2014-12-24 2016-07-04 삼성전자주식회사 전자 설계 자동화를 위한 장치 및 방법
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
US9721054B2 (en) * 2015-12-11 2017-08-01 International Business Machines Corporation Building a corner model of interconnect wire resistance
US10083272B2 (en) * 2016-08-12 2018-09-25 International Business Machines Corporation Integrated circuit design layout optimizer based on process variation and failure mechanism
CN106611088B (zh) * 2016-12-28 2019-10-08 北京华大九天软件有限公司 一种库单元时延功耗状态完整性的检查方法
CN110622069B (zh) 2017-05-05 2022-08-09 Asml荷兰有限公司 用于预测器件制造工艺的良率的方法
US10402524B2 (en) * 2017-05-08 2019-09-03 Globalfoundries Inc. Prediction of process-sensitive geometries with machine learning
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
US10628544B2 (en) 2017-09-25 2020-04-21 International Business Machines Corporation Optimizing integrated circuit designs based on interactions between multiple integration design rules
US10599805B2 (en) 2017-12-01 2020-03-24 International Business Machines Corporation Superconducting quantum circuits layout design verification
US10592814B2 (en) 2017-12-01 2020-03-17 International Business Machines Corporation Automatic design flow from schematic to layout for superconducting multi-qubit systems
EP3746918A4 (en) * 2018-01-31 2021-10-27 Coventor, Inc. SYSTEM AND METHOD FOR 2D / 3D COMPACT MODEL GENERATION FOR A MEMS DEVICE
US10621295B2 (en) 2018-04-10 2020-04-14 International Business Machines Corporation Incorporation of process variation contours in design rule and risk estimation aspects of design for manufacturability to increase fabrication yield
US10714366B2 (en) * 2018-04-12 2020-07-14 Kla-Tencor Corp. Shape metric based scoring of wafer locations
CN108829999B (zh) * 2018-06-26 2022-03-01 重庆科技学院 一种强非均质性致密储层水平井分段压裂布缝优化方法
US11188687B2 (en) * 2018-11-09 2021-11-30 The Boeing Company Rule compliance checking and design generation with rule access security
KR102596609B1 (ko) 2018-11-16 2023-10-31 삼성전자주식회사 반도체 장치의 제조 방법 및 레이아웃 디자인 시스템
KR102610060B1 (ko) * 2018-11-30 2023-12-06 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
CN110069810B (zh) * 2019-03-11 2023-04-07 北京百度网讯科技有限公司 电池故障预测方法、装置、设备和可读存储介质
US10908598B1 (en) 2019-05-30 2021-02-02 Xilinx, Inc. Integrated circuits designed for multiple sets of criteria
US10796069B1 (en) 2019-06-06 2020-10-06 International Business Machines Corporation Bump connection placement in quantum devices in a flip chip configuration
US11906905B2 (en) 2019-11-15 2024-02-20 Applied Materials, Inc. Preserving hierarchical structure information within a design file
KR20210069161A (ko) 2019-12-02 2021-06-11 삼성전자주식회사 Euv 레티클 제조 방법 및 그를 포함하는 반도체 소자의 제조 방법
US11574103B2 (en) * 2020-01-31 2023-02-07 International Business Machines Corporation Addressing layout retargeting shortfalls
US20230106324A1 (en) * 2020-04-08 2023-04-06 Nippon Control System Corporation Mask information adjusting apparatus, mask data adjusting method, and program
WO2021216771A1 (en) * 2020-04-24 2021-10-28 Coventor, Inc. System and method for performing local cdu modeling and control in a virtual fabrication environment
US11657207B2 (en) * 2020-07-28 2023-05-23 Synopsys, Inc. Wafer sensitivity determination and communication
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
EP4001902A1 (en) * 2020-11-23 2022-05-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method and system for simulating an optical image of a photonic and/or electronic device
WO2022187089A1 (en) * 2021-03-03 2022-09-09 Rambus Inc. Module authentication

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
FR2590376A1 (fr) 1985-11-21 1987-05-22 Dumant Jean Marc Procede de masquage et masque utilise
JPH04344979A (ja) 1991-05-22 1992-12-01 Mitsubishi Electric Corp パターンレイアウト検証装置
US5396584A (en) 1992-05-29 1995-03-07 Destiny Technology Corporation Multi-bit image edge enhancement method and apparatus
US5519628A (en) 1993-02-19 1996-05-21 International Business Machines Corporation System and method for formulating subsets of a hierarchical circuit design
JP2531114B2 (ja) 1993-10-29 1996-09-04 日本電気株式会社 光強度分布解析方法
JP3339174B2 (ja) 1993-11-08 2002-10-28 ソニー株式会社 フォトマスクの製造方法、露光方法及び半導体装置の製造方法
JPH08297692A (ja) 1994-09-16 1996-11-12 Mitsubishi Electric Corp 光近接補正装置及び方法並びにパタン形成方法
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
JP3409493B2 (ja) 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
JP3934719B2 (ja) 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
US5723233A (en) 1996-02-27 1998-03-03 Lsi Logic Corporation Optical proximity correction method and apparatus
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5663891A (en) 1996-04-03 1997-09-02 Cadence Design Systems, Inc. Optimization of multiple performance criteria of integrated circuits by expanding a constraint graph with subgraphs derived from multiple PWL convex cost functions
JP3551660B2 (ja) 1996-10-29 2004-08-11 ソニー株式会社 露光パターンの補正方法および露光パターンの補正装置および露光方法
KR100257710B1 (ko) 1996-12-27 2000-06-01 김영환 리소그라피 공정의 시물레이션 방법
US6016357A (en) 1997-06-16 2000-01-18 International Business Machines Corporation Feedback method to repair phase shift masks
US5886191A (en) * 1997-08-18 1999-03-23 Dupont Pharmaceuticals Company Amidinoindoles, amidinoazoles, and analogs thereof
WO1999014637A1 (en) 1997-09-17 1999-03-25 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
AU9775198A (en) * 1997-09-17 1999-04-05 Numerical Technologies, Inc. Design rule checking system and method
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
KR20010024116A (ko) 1997-09-17 2001-03-26 뉴메리컬 테크날러쥐스 인코포레이티드 데이터 계층 레이아웃 교정 및 검증 방법과, 그 장치들
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6243855B1 (en) 1997-09-30 2001-06-05 Kabushiki Kaisha Toshiba Mask data design method
US6033814A (en) 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6499003B2 (en) 1998-03-03 2002-12-24 Lsi Logic Corporation Method and apparatus for application of proximity correction with unitary segmentation
US6324674B2 (en) 1998-04-17 2001-11-27 Lsi Logic Corporation Method and apparatus for parallel simultaneous global and detail routing
US6128067A (en) 1998-04-28 2000-10-03 Kabushiki Kaisha Toshiba Correcting method and correcting system for mask pattern
JP3223965B2 (ja) 1998-07-10 2001-10-29 日本電気株式会社 化学増幅型レジスト形状の計算方法及び記録媒体
US6226781B1 (en) 1998-08-12 2001-05-01 Advanced Micro Devices, Inc. Modifying a design layer of an integrated circuit using overlying and underlying design layers
US6120952A (en) 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6263299B1 (en) 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US6467076B1 (en) 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design
US6301697B1 (en) 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US6249904B1 (en) 1999-04-30 2001-06-19 Nicolas Bailey Cobb Method and apparatus for submicron IC design using edge fragment tagging to correct edge placement distortion
US6187483B1 (en) 1999-05-28 2001-02-13 Advanced Micro Devices, Inc. Mask quality measurements by fourier space analysis
US6317859B1 (en) 1999-06-09 2001-11-13 International Business Machines Corporation Method and system for determining critical area for circuit layouts
US6238824B1 (en) 1999-08-31 2001-05-29 Micron Technology, Inc. Method for designing and making photolithographic reticle, reticle, and photolithographic process
US6080527A (en) 1999-11-18 2000-06-27 United Microelectronics Corp. Optical proximity correction of L and T shaped patterns on negative photoresist
US6643616B1 (en) 1999-12-07 2003-11-04 Yuri Granik Integrated device structure prediction based on model curvature
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
US6584609B1 (en) 2000-02-28 2003-06-24 Numerical Technologies, Inc. Method and apparatus for mixed-mode optical proximity correction
JP2001350250A (ja) 2000-06-05 2001-12-21 Mitsubishi Electric Corp パターン歪み補正装置、パターン歪み補正方法、およびパターン歪み補正プログラムを記録した記録媒体
EP1330742B1 (en) 2000-06-13 2015-03-25 Mentor Graphics Corporation Integrated verification and manufacturability tool
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US7412676B2 (en) 2000-06-13 2008-08-12 Nicolas B Cobb Integrated OPC verification tool
US6430737B1 (en) 2000-07-10 2002-08-06 Mentor Graphics Corp. Convergence technique for model-based optical and process correction
US6782516B2 (en) 2000-08-07 2004-08-24 Dupont Photomasks, Inc. System and method for eliminating design rule violations during construction of a mask layout block
US6634018B2 (en) 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
US6815129B1 (en) 2000-09-26 2004-11-09 Euv Llc Compensation of flare-induced CD changes EUVL
US6792590B1 (en) 2000-09-29 2004-09-14 Numerical Technologies, Inc. Dissection of edges with projection points in a fabrication layout for correcting proximity effects
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
JP4064617B2 (ja) * 2000-10-26 2008-03-19 株式会社東芝 マスクパターン補正方法、マスクパターン補正装置、マスクパターン補正プログラムを格納した記録媒体、及び半導体装置の製造方法
US6665856B1 (en) 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
TW466586B (en) * 2001-01-17 2001-12-01 United Microelectronics Corp Unlanded process
US7392168B2 (en) 2001-03-13 2008-06-24 Yuri Granik Method of compensating for etch effects in photolithographic processing
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
US6574784B1 (en) 2001-06-14 2003-06-03 George P. Lippincott Short edge management in rule based OPC
US6649309B2 (en) 2001-07-03 2003-11-18 International Business Machines Corporation Method for correcting optical proximity effects in a lithographic process using the radius of curvature of shapes on a mask
JP2003031468A (ja) 2001-07-13 2003-01-31 Canon Inc 半導体露光装置の管理システム及び管理方法
US20030061583A1 (en) 2001-09-14 2003-03-27 Numerical Technologies, Inc. Shape and look-up table based design rule checking (DRC) for physical verification of integrated circuit layouts
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6668367B2 (en) 2002-01-24 2003-12-23 Nicolas B. Cobb Selective promotion for resolution enhancement techniques
US7293249B2 (en) 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US7013439B2 (en) 2002-01-31 2006-03-14 Juan Andres Torres Robles Contrast based resolution enhancing technology
US6887633B2 (en) 2002-02-08 2005-05-03 Chih-Hsien Nail Tang Resolution enhancing technology using phase assignment bridges
JP4152647B2 (ja) 2002-03-06 2008-09-17 富士通株式会社 近接効果補正方法及びプログラム
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6954911B2 (en) * 2002-05-01 2005-10-11 Synopsys, Inc. Method and system for simulating resist and etch edges
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
WO2004008244A2 (en) 2002-07-15 2004-01-22 Kla-Tencor Technologies Corp. Defect inspection methods that include acquiring aerial images of a reticle for different lithographic process variables
US6973633B2 (en) 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
JP4365566B2 (ja) 2002-07-31 2009-11-18 富士通マイクロエレクトロニクス株式会社 光強度シミュレーション方法及びフォトマスクの設計方法
US7172838B2 (en) 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
US6832360B2 (en) 2002-09-30 2004-12-14 Sun Microsystems, Inc. Pure fill via area extraction in a multi-wide object class design layout
US6857109B2 (en) 2002-10-18 2005-02-15 George P. Lippincott Short edge smoothing for enhanced scatter bar placement
US7313508B2 (en) * 2002-12-27 2007-12-25 Lsi Corporation Process window compliant corrections of design layout
US6928634B2 (en) 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
US6718526B1 (en) 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US6989229B2 (en) 2003-03-27 2006-01-24 Freescale Semiconductor, Inc. Non-resolving mask tiling method for flare reduction
US20050015740A1 (en) 2003-07-18 2005-01-20 Mentor Graphics Corp. Design for manufacturability
US20050234684A1 (en) 2004-04-19 2005-10-20 Mentor Graphics Corp. Design for manufacturability
TWI237746B (en) 2003-07-23 2005-08-11 Nanya Technology Corp Optical proximity correction method
JP4202214B2 (ja) 2003-09-01 2008-12-24 富士通マイクロエレクトロニクス株式会社 シミュレーション方法及び装置
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
US7010776B2 (en) 2003-10-27 2006-03-07 International Business Machines Corporation Extending the range of lithographic simulation integrals
US7073162B2 (en) 2003-10-31 2006-07-04 Mentor Graphics Corporation Site control for OPC
US7269817B2 (en) * 2004-02-10 2007-09-11 International Business Machines Corporation Lithographic process window optimization under complex constraints on edge placement
US7523429B2 (en) 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
US7861207B2 (en) 2004-02-25 2010-12-28 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US7487490B2 (en) 2004-03-30 2009-02-03 Youping Zhang System for simplifying layout processing
US7269804B2 (en) * 2004-04-02 2007-09-11 Advanced Micro Devices, Inc. System and method for integrated circuit device design and manufacture using optical rule checking to screen resolution enhancement techniques
US7194725B1 (en) 2004-04-02 2007-03-20 Advanced Micro Devices, Inc. System and method for design rule creation and selection
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
EP1747520B1 (en) 2004-05-07 2018-10-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
WO2005111796A2 (en) 2004-05-09 2005-11-24 Mentor Graphics Corporation Defect location identification for microdevice manufacturing and test
US7240305B2 (en) 2004-06-02 2007-07-03 Lippincott George P OPC conflict identification and edge priority system
JP4709511B2 (ja) 2004-08-18 2011-06-22 株式会社東芝 マスクパターン補正方法、マスクパターン補正プログラム、フォトマスクの作製方法及び半導体装置の製造方法
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7197722B2 (en) 2004-09-30 2007-03-27 Intel Corporation Optimization of sample plan for overlay
US7281224B2 (en) 2004-10-26 2007-10-09 Synopsys, Inc. Wide geometry recognition by using circle-tangent variable spacing model
JP2006189724A (ja) 2005-01-07 2006-07-20 Toshiba Corp パターン抽出システム、測定ポイント抽出方法、パターン抽出方法及びパターン抽出プログラム
US7459248B2 (en) 2005-02-24 2008-12-02 James Word Performing OPC on structures with virtual edges
US8037429B2 (en) 2005-03-02 2011-10-11 Mentor Graphics Corporation Model-based SRAF insertion
US7493587B2 (en) 2005-03-02 2009-02-17 James Word Chromeless phase shifting mask for integrated circuits using interior region
US8176445B1 (en) 2005-04-15 2012-05-08 Qi-De Qian Method and system for optimizing integrated circuit layout
US7434199B2 (en) 2005-09-27 2008-10-07 Nicolas Bailey Cobb Dense OPC
TW200811684A (en) 2006-02-17 2008-03-01 Mentor Graphics Corp Gate modeling for semiconductor fabrication process effects
US7378202B2 (en) 2006-02-21 2008-05-27 Mentor Graphics Corporation Grid-based resist simulation
US7703067B2 (en) 2006-03-31 2010-04-20 Synopsys, Inc. Range pattern definition of susceptibility of layout regions to fabrication issues
US8056022B2 (en) 2006-11-09 2011-11-08 Mentor Graphics Corporation Analysis optimizer
US7966585B2 (en) 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
US7802226B2 (en) 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
US7739650B2 (en) 2007-02-09 2010-06-15 Juan Andres Torres Robles Pre-bias optical proximity correction
CN101785011A (zh) * 2007-06-27 2010-07-21 凯迪斯设计系统公司 利用可制造性模型的鲁棒设计
US9053283B2 (en) * 2013-03-12 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in finFET standard cells using filters

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI463346B (zh) * 2008-10-15 2014-12-01 Advanced Risc Mach Ltd 用於一積體電路之後佈線電源修改
TWI461945B (zh) * 2009-01-29 2014-11-21 Synopsys Inc 實施使用下限距離之抽象化-精緻化技術的方法及裝置
TWI456423B (zh) * 2009-08-05 2014-10-11 Fujitsu Semiconductor Ltd 設計支援程式、設計支援裝置及設計支援方法
TWI464433B (zh) * 2009-11-25 2014-12-11 Hon Hai Prec Ind Co Ltd 印刷電路板信號線分類排查系統及方法
US9659123B2 (en) 2014-10-17 2017-05-23 21, Inc. Systems and methods for flexibly optimizing processing circuit efficiency
TWI681479B (zh) * 2015-08-17 2020-01-01 德商果尼阿克有限公司 用於分析半導體晶圓之處理的方法及裝置
TWI739101B (zh) * 2019-05-07 2021-09-11 瑞昱半導體股份有限公司 Ic設計資料庫產生方法以及ic設計方法
TWI773401B (zh) * 2020-11-30 2022-08-01 大陸商深圳比特微電子科技有限公司 版圖設計的方法和積體電路、運算晶片和計算設備
CN116819906A (zh) * 2023-08-25 2023-09-29 深圳国微福芯技术有限公司 设计规则检查方法、光学临近修正方法
CN116819906B (zh) * 2023-08-25 2023-11-28 深圳国微福芯技术有限公司 设计规则检查方法、光学临近修正方法

Also Published As

Publication number Publication date
WO2005111874A3 (en) 2006-04-13
JP2016189220A (ja) 2016-11-04
JP6377106B2 (ja) 2018-08-22
JP2007536581A (ja) 2007-12-13
EP1747520A2 (en) 2007-01-31
JP6069369B2 (ja) 2017-02-01
US20050251771A1 (en) 2005-11-10
US20170004250A1 (en) 2017-01-05
US20180260512A1 (en) 2018-09-13
US20150067618A1 (en) 2015-03-05
JP2015109099A (ja) 2015-06-11
WO2005111874A2 (en) 2005-11-24
US9977856B2 (en) 2018-05-22
JP2011059713A (ja) 2011-03-24
JP5706675B2 (ja) 2015-04-22
US9361424B2 (en) 2016-06-07
JP2018106728A (ja) 2018-07-05
US8799830B2 (en) 2014-08-05
EP1747520B1 (en) 2018-10-24

Similar Documents

Publication Publication Date Title
TW200604870A (en) Integrated circuit layout design methodology with process variation bands
WO2014071060A3 (en) Scale-invariant superpixel region edges
JP2015109099A5 (zh)
WO2006039224A3 (en) Racecheck: a race logic analyzer program for digital integrated circuits
WO2008008140A3 (en) Methods and apparatus for passive attachment of components for integrated circuits
TW200616059A (en) Semiconductor substrate, manufacturing method of a semiconductor device and testing method of a semiconductor device
WO2008106369A3 (en) Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-conrner static timing analysis
TW200718957A (en) Improved calibration technique for measuring gate resistance of power MOS gate device at wafer level
WO2007006037A3 (en) Placement methods for standard cell library
ATE531131T1 (de) Verfahren und vorrichtung zum verteilen mehrerer signaleingänge an mehrere integrierte schaltungen
TW200802014A (en) Interconnection modeling for semiconductor fabrication process effects
TW200943112A (en) Semiconductor-device manufacturing method, semiconductor-device manufacturing program and semiconductor-device manufacturing system
MY166488A (en) Test socket with hook-like pin contact edge
JP2014532172A5 (zh)
TW200802517A (en) Semiconductor failure analysis apparatus, failure analysis method, and failure analysis program
JP2010257164A5 (ja) 半導体集積回路装置の設計方法
WO2008099657A1 (ja) 半導体集積回路、デバッグ・トレース回路、および半導体集積回路動作観測方法
CN106796265B (zh) Ic中的裸片内晶体管特性
WO2009055016A3 (en) Integrated circuit with optical interconnect
TW200727328A (en) Method of optimizing layout of semiconductor device, manufacturing method of photomask, and manufacturing method and program of semiconductor device
WO2013016276A3 (en) Hybrid interconnect technology
Masuda et al. Approach for physical design in sub-100 nm era
CN105808811B (zh) 电路仿真设备、电路仿真方法和电路仿真程序
WO2008114394A1 (ja) 半導体装置の設計方法およびレイアウトデータ検証プログラム
WO2006127438A3 (en) Method and system for chip design using physically appropriate component models and extraction