JP2011059713A - プロセス変動バンドを用いた集積回路レイアウト設計法 - Google Patents

プロセス変動バンドを用いた集積回路レイアウト設計法 Download PDF

Info

Publication number
JP2011059713A
JP2011059713A JP2010261858A JP2010261858A JP2011059713A JP 2011059713 A JP2011059713 A JP 2011059713A JP 2010261858 A JP2010261858 A JP 2010261858A JP 2010261858 A JP2010261858 A JP 2010261858A JP 2011059713 A JP2011059713 A JP 2011059713A
Authority
JP
Japan
Prior art keywords
layout
design
band
objects
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010261858A
Other languages
English (en)
Other versions
JP5706675B2 (ja
Inventor
Robles Juan A Torres
エー. トレス ロブレス ファン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mentor Graphics Corp
Original Assignee
Mentor Graphics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=35385625&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2011059713(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Mentor Graphics Corp filed Critical Mentor Graphics Corp
Publication of JP2011059713A publication Critical patent/JP2011059713A/ja
Application granted granted Critical
Publication of JP5706675B2 publication Critical patent/JP5706675B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02TCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO TRANSPORTATION
    • Y02T10/00Road transport of goods or passengers
    • Y02T10/80Technologies aiming to reduce greenhouse gasses emissions common to all road transportation technologies
    • Y02T10/82Elements for improving aerodynamics

Abstract

【課題】レイアウトが、より効果的で製造しやすいように、設計および検証工程中に、予測されるプロセス変動を考慮し、補正できるシステムを提供すること。
【解決手段】異なる処理条件の結果として、半導体のウェハ上に作成された複数の対象物の変動を算出することによる集積回路のレイアウトおよび設計解析のためのシステム。本変動は各機能の不具合を判断するため、または処理中に生じる変動の影響度によりレイアウト設計をランクづけするために解析される。一実施例において、本変動は、対象物が常に印刷する最小領域を判断する内端、および対象物が特定の処理条件下で印刷する最大領域を判断する外端をもつPVバンドにより示される。
【選択図】図15

Description

(発明の分野)
本発明は、一般に、フォトリソグラフィプロセスに関連し、特に、プロセス変動による製造可能性に対する集積回路設計の検証方法に関する。
(発明の背景)
従来の集積回路設計プロセスにおいて、回路設計者は、集積回路がどのような機能を行うかという、概念的な考えから始める。回路設計者は、次に、コンピュータ上で回路設計を作成し、回路が所望のように作動するか確認するために、一つ以上のツールを使用して回路設計を検証する。この段階での設計は、一般的に回路図として考察されることにより表されうるが、また、コンピュータ内で高レベルの概要としても表されうる。
これらの概要は、次に、製作のために、回路要素の物理的な定義へと変換される。これらの定義は、しばしば、回路レイアウトと呼ばれ、トランジスタゲート、コンデンサ、抵抗配線ワイヤ等の製作のための物理的要素として、幾何学的境界を示す。複数のデータフォーマットが、GDS−IIおよびOASISTMを含む、これらの物理的レイアウトを示すために作成される。しばしば、回路の各物理的レイアウトは、対応するデータ層をもち、これは、前記データ層で要素の多角形境界を示すためである。
回路レイアウトが定義されると、追加の変動チェックが行われる。これらの変動チェックのいくつかは、物理的構造が正確に所望の電気的作用を示すかを確認するためである。これは、一般的にLVS(レイアウト対回路図またはレイアウト対ソース)と呼ばれる。寄生抵抗および電気容量のさらなる摘出が行われ、レイアウトに対する回路の動的作用も推定される。このステップは、従来、寄生摘出と呼ばれる。
他の変動チェックは、回路のレイアウトが特定のプロセスで製造されるか確認するために、実行される。例えば、レイアウトは、回路が特定の製造プロセスで製造不可能である、違反の回路要素の配置または構造を含んでいないかを確認する、一つ以上の設計ルールチェック(DRCs)の対象でありうる。さらに、光プロセス補正(OPC)などの解像度向上技術(RETs)を、フォトリソグラフィプロセスで生じる、予測される光学的歪みを事前に補正するために適用する。検証およびOPC補正されたレイアウトデータは、次に、所望の回路を製造するために、半導体ウェハ上にパターンを描画する選択されたフォトリソグラフィプロセスで使用される、複数のフォトリソグラフィマスクまたはレチクルを作成するために使用される。
上述の方法は、期待されるフォトリソグラフィプロセス条件下での集積回路の製造において、よく機能するが、これらのプロセスは、変動する。例えば、変動は、ウェハ上の描画の合焦、マスクまたはレチクルを介しての照明光の量、ステッパーの位置だけでなく、どのように回路がウェハ上で作成されるかに影響する他のプロセス条件でも生じる。過去において、実際に回路を製造するために使用される条件にかかわらず、回路が意図したように作動するように、回路を設計する時に生じるプロセス変動を考慮する、信頼できる方法がなかった。従って、レイアウトが、より効果的で製造しやすいように、設計および検証工程中に、予測されるプロセス変動を考慮し、補正できるシステムが必要である。
(発明の要旨)
上述の問題点に対処するために、本発明は、フォトリソグラフィプロセス中に生じる予想された変動に対するICレイアウトを検証および/または補正するための方法である。本発明の一実施例によると、ウェハ上に作成される対象物は、プロセス条件の変動下で印刷される対象物の範囲を判断するためのモデルとした。一実施例において、範囲は、ウェハ上に印刷されると予想される対象物の最小および最大領域を特定する、プロセス変動バンド(PVバンド)として保管される。PVバンドは、回路が予想されたプロセス条件で製造可能かをみるために、一つ以上のルールにより解析される。
本発明の実施例によると、異なるICレイアウトは、対象物に対して作成されるPVバンドによる製造可能性に基づきランクづけされうる。本発明の他の実施例において、PVバンドは、ICレイアウトが、製造に適格かを判断するために使用されうる。
例えば、本発明は以下の項目を提供する。
(項目1)
フォトリソグラフィプロセスで作成された少なくとも二つの対象物を定義するレイアウトまたはレイアウトの一部を受け取るステップと、対象物のPVバンドであって、ウェハ上に印刷される上記対象物の最小および最大予想領域を定義するPVバンドを作成するために、対象物が、複数の異なるリソグラフィプロセス下でどのように印刷されるかをシミュレーションするステップと、設計を検証するために、一つ以上の設計ルールを使用してPVバンドを解析するステップと、を含む、集積回路の設計の検証方法。
(項目2)
作成される各対象物は、レイアウトのデータベース層の複数の多角形の頂点として定義され、各PVバンドがレイアウトのデータベース層の複数の頂点として保存される、項目1に記載の方法。
(項目3)
各PVバンドは、頂点の集まりとして定義された内端および外端、または外端のみを含んでいてもよい、項目2に記載の方法。
(項目4)
上記PVバンドの上記内端および外端の頂点は、個別のデータ層に保管される、項目3に記載の方法。
(項目5)
上記PVバンドは、上記PVバンドの領域、周囲、または長さの一つ以上を算出する設計ルールにより解析される、項目1に記載の方法。
(項目6)
どのレイアウト設計がプロセス変動に影響を受けやすいかを判断するため、上記PVバンドの領域により、二つ以上のレイアウトをランクづけするステップをさらに含む、項目5に記載の方法。
(項目7)
各対象物の対象領域を特定し、一つ以上の設計ルールを使用して、各対象物のPVバンドを対応する対象領域と比較するステップをさらに含む、項目1に記載の方法。
(項目8)
上記PVバンドまたは上記PVバンドに由来する所有物を対象領域と比較することにより、二つ以上のレイアウト設計をランクづけするステップをさらに含む、項目7に記載の方法。
(項目9)
上記PVバンドが、異なる処理条件により対象物の画像を算出することにより作成される、項目1に記載の方法。
(項目10)
PVバンドが、プロセス変動の分布関数で対象物を畳み込むことにより作成される、項目1に記載の方法。
(項目11)
コンピュータシステムにより実行される時、項目1に記載の方法を、コンピュータシステムに実施させる複数の指示を含むコンピュータ可読媒体。
(項目12)
項目1に記載の方法を実行するため、コンピュータシステムにより実行される複数の指示を発するためにコンピュータシステムにより読まれる、電磁気の波形に組み込まれたデータ信号。
(項目13)
所望の回路機能を実行するために、レイアウトの回路対象物の配置を定義する回路設計ツールと、フォトリソグラフィプロセスの変動の結果により、対象物がウェハ上に印刷されるように可能な変動を判断する検証ツールと、上記回路設計ツールを使用して、修正されるよう特定された一つ以上のルール違反を判断するために、対象物の可能な変動を一つ以上の設計ルールと比較するルールチェッカーとを備える、フォトリソグラフィプロセスによりウェハ上に作成される回路設計を設計および検証するためのシステム。
(項目14)
上記検証ツールが、変動をシミュレーションするプロセスモデルを使用することにより、可能な変動を判断する、項目11に記載のシステム。
(項目15)
フォトリソグラフィプロセスでウェハ上に作成された複数の対象物を判断するレイアウトまたはレイアウトの一部を受け取るステップと、対象物のPVバンドであって、ウェハ上に印刷される上記対象物の最小および最大予想領域を定義するPVバンドを作成するために、対象物が、複数の異なるリソグラフィプロセス下でどのように印刷されるかをシミュレーションし、設計を検証するために、一つ以上の設計ルールを使用して上記PVバンドを解析する動作を実行するリモートコンピュータシステムに、受け取ったレイアウトまたはレイアウトの一部の送信するステップと、を含む、集積回路設計の検証方法。
(項目16)
フォトリソグラフィプロセスでウェハ上に作成される複数の対象物を定義する集積回路レイアウトを検証する方法であって、上記集積回路レイアウトの全てまたは一部を受け取るステップと、対象物が、ある特定のフォトリソグラフィプロセス条件下でウェハ上に印刷される最大領域を判定するステップと、上記集積回路設計を検証するために、一つ以上の設計ルールにより対象物の最大サイズを解析するステップと、を含む方法。
(項目17)
対象物が、全プロセス条件下でウェハ上に印刷される最小サイズを判定し、集積回路設計を検証するために、一つ以上の設計ルールにより対象物の最小サイズを解析するステップをさらに含む、項目16に記載の方法。
(項目18)
各対象物に対して、PVバンドが、あるプロセス条件下で対象物が印刷される最大サイズに対応する外端と、全プロセス条件下で対象物が印刷される最小サイズに対応する内端と、をもつ定義するステップをさらに含む、項目17に記載の方法。
(項目19)
ウェハ上に作成される一つ以上の対象物の対象領域の判定するステップと、対象物がウェハ上に正確に作成されるか検証するために、対象物のPVバンドを、定義された対象領域と比較するステップと、をさらに含む、項目18に記載の方法。
(項目20)
コンピュータにより実行される時、項目16に記載の方法を、コンピュータに実施させる複数の指示を含むコンピュータ可読媒体。
(項目21)
集積回路レイアウトが製造可能であることを検証する方法であって、レイアウトが「LFDクリーン」である時を特定する一連の規準を明示するステップと、少なくとも、一部の集積回路レイアウトを読取るステップと、二つ以上のシミュレーションプロセス条件下でのレイアウトを評価するステップと、評価結果をレイアウトが「LFDクリーン」であるかを判定するために、評価の結果を明示された規準と比較するステップと、を含む方法。
(項目22)
少なくとも二つ以上の集積回路レイアウトの一部を読取るステップと、上記二つ以上のシミュレーションプロセス条件下で、上記二つ以上の集積回路レイアウトを評価するステップと、上記規準による集積回路レイアウトまたはその一部をランクづけし、上記結果を明示された規準と比較するステップと、ユーザへのランクづけを表示するステップと、をさらに含む、項目21に記載の方法。
(項目23)
上記レイアウトまたはその一部のランクづけが、設計変動指数(DVI)を使用する、項目22に記載の方法。
(項目24)
上記レイアウトまたはその一部のランクづけが、プロセス変動指数(PVI)を使用する、項目23に記載の方法。
(項目25)
DVIまたはPVIが、少なくとも二つの製造可能な対象物からの情報を使用して計算され、それぞれがレイアウトの異なる物理層に対応する、項目24に記載の方法。
(項目26)
製造可能な対象物の生成、上記対象物に対する操作の実行、および対象物間または対象物とオペレータ間の関係についてのガイドラインの使用を含む、集積レイアウトの少なくとも一部を読取る動作を実行するために、コンピュータにより実行される、媒体に保管された複数の指示をもつ、コンピュータ可読媒体。
(項目27)
上記対象物が、PVバンドを含む、項目26に記載の媒体。
(項目28)
上記対象物が、明示されたプロセスモデルを使用して計算された、項目26に記載の媒体。
(項目29)
上記操作が、ブール演算を含む、項目26に記載の媒体。
(項目30)
上記操作が、遠隔操作を含む、項目26に記載の媒体。
(項目31)
上記操作が、領域操作を含む、項目26に記載の媒体。
(項目32)
上記ガイドラインが、設計ルールを含む、項目26に記載の媒体。
(項目33)
上記ガイドラインが、製造可能ルールを含む、項目26に記載の媒体。
(項目34)
集積回路レイアウトの検証方法であって、複数のプロセス条件下での製造中、特定の回路層に生じやすい端配置の変動表示を生成するステップと、これらの変動において製造された回路に関連する電気性質を摘出するステップと、これらの変動において製造された回路の電気的タイミングを評価するステップと、を含む、方法。
本発明の前述の側面および多くの付随する利点は、添付の図と関連して、以下の詳細な説明の参照により理解が深まり、より容易に解釈されるだろう。
図0A〜0Dは、本発明の一実施例による、簡略化したICレイアウト、対応するPVバンドおよび変動プロセスの一部を示す。 図0A〜0Dは、本発明の一実施例による、簡略化したICレイアウト、対応するPVバンドおよび変動プロセスの一部を示す。 図0A〜0Dは、本発明の一実施例による、簡略化したICレイアウト、対応するPVバンドおよび変動プロセスの一部を示す。 図0A〜0Dは、本発明の一実施例による、簡略化したICレイアウト、対応するPVバンドおよび変動プロセスの一部を示す。 図0Eは、本発明の実施例が実行された、典型的なコンピュータシステムを示す。 図1は、500nm、350nm、250nm、および180nmプロセスの最大達成可能歩留まりを示す。 図2は、サブ波長ギャップおよび最大達成可能歩留まりの相関性を示す。 図3は、中間焦点のずれを示す。ウェハの要素(Ia)、フィールド毎の焦点のずれ残差(系統的ウェハ要素の除去)(Ib)およびフィールド毎の残差。 図4は、ダイ内のパラメータ変動対テクノロジ生成に起因する最大周波数の減少を示す。 図5は、典型的なIC設計フローを示す。 図6は、短絡(A)およびオープン(B)欠陥を描写する臨界領域の計算を示す。 図7は、130nm標準セルの対照ベースに基づくDFM最適化の例を示す。基本のレイアウト(A)、中間のレイアウト(B)、および最適なレイアウト(C)。 図8は、高感度の機能検出を示す。代表的なポリ層(A)および代表的なコンタクト配列(B)。 図9は、90nmプロセスのピンチ欠陥(pinch−failure)モデルを示す。表面は、欠陥とロバストな印刷間の境界を示す。 図10は、制限された設計ルールの例を示す。典型的(A)およびより製造可能(B)なSRAMセルのポリシリコン層。 図11は、本発明の一実施例によるPVバンドの算出を示す。プロセスウィンドウ内の全てのポイントで、パターンの移動描画が算出される。PVバンド内の領域は、常に印刷領域と対応し、バンド(グレー)は、印刷および非印刷間の境界の問題位置を示す変動領域と対応する。 図12は、本発明の一実施例における、PVバンドの要素を示す。内側のPVバンド端は、印刷可能性と変動領域間の境界である。外側のPVバンド端は、変動と非印刷可能性領域間の境界である。 図13は、本発明の一実施例における、実質対相対PVバンドを示す。 図14は、複数の層からなるレイアウトを示し、最終の複合感度は、接続照準線および非接続照準線に沿った、比較された全ての個別の感度の組み合わせである。Aは概念を示し、Bは、130nmセルの実際の状態を示す。 図15は、本発明の一実施例において、本発明で提案された方法を使用して改善された設計フローを示す。 図16は、本発明の一実施例における、典型的な設計ルール(左)対PVバンド設計ルール(右)を示す。 図17は、一層PVバンド相互作用を示す。PVバンドの内部および外部間隔が、合格/不合格の判定基準を決定する。 図18は、二層PVバンド相互作用を示す。閉鎖ルールは、不完全な重複の存在により決定される。 図19は、二層PVバンド相互作用を示す。異なる位相環境。 図20は、複数のプロセスノードの配置/独立領域を示す。 図21は、パターン移動中に関与する4つのメカニズムの一般的な範囲を示す。化学金属研磨(CMP)、光学フレア、エッチ、および光学近接。 図22は、解析および修正(A)、解析目的におけるデバイスの機能性を判断するための電気情報と製造情報の連結(B)のDFMモデル提案を示す。 図23は、プロセスウィンドウの5ポイントで、SRAFを用いた90nmの独立した機能を示す。 図24は、複数のプロセウ条件の密度計算を示す。 図25は、明確なフィールド線の照射量と焦点のずれの効果を示す。4つのプロセスコーナーを示す(左)。応答コーナーを示す(右)。 図26は、明白なフィールド、暗い特徴のCDでの量、焦点のずれ、およびマスクバイアス変動とそれらの影響を示す。 図27は、n埋込層のプロセスおよび設計変動指数を示す。130nmプロセス(A)および90nmプロセス(B)。n埋込層は、一般的に大規模な構成を含む。 図28は、コンタクト層のプロセスおよび設計変動指数を示す。130nmプロセス(A)および90nmプロセス(B)。 図29は、ポリシリコン層のプロセスおよび設計変動指数を示す。130nmプロセス(A)および90nmプロセス(B)。 図30は、基本的な初期の物理的な構成を示す。 図31は、イベント定義を示す。全てのリソグラフプロセス条件に対して、13イベントのサンプルをとった。 図32は、テストセル(A)の最適な130nmの物理的構成、および全層(B)の個々のPVバンドを示す。 図33は、コンタクト(130nmテクノロジ)の製造可能指数を示す。オリジナルセル(A)および最適化されたセル(B)。 図34は、ポリシリコン(130nmテクノロジ)の製造可能を示す。オリジナルセル(A)および最適化されたセル(B)。 図35は、コンタクト(90nmテクノロジ)の製造可能指数を示す。90nmに縮小されたオリジナル130nmセル(A)および90nmに縮小された最適化された130nmセル(B)。 図36は、同じトランジスタの全焦点およびエネルギー量のCD動作を示す、焦点露光「Bossung」グラフを示す。 図37は、製造中のプロセス変動における設計の電気解析を示す。 図38は、EDOCEO要素を示す。 図39は、クロック要素結果を示す。OPC(A)ありおよびOPC(B)なしの量(前方水平軸)、焦点(ページ平面軸に対して垂直)および信号到達時間(垂直軸)。 図40は、非クロック要素を示す。OPC(A)ありおよびOPC(B)なしの量(前方水平軸)、焦点(ページ平面軸に対して垂直)および信号到達時間(垂直軸)。 図41は、元のセルタイミングを示す。FF、SS、TT、SF、およびFS SPICEモデルを使用した、イベント3の信号到達時間。 図42は、最適化されたセルタイミングを示す。FF、SS、TT、SF、およびFS SPICEモデルを使用した、イベント3の信号到達時間。 図43は、より一貫したタイミングに変換するパターンの確実性を示す(基準SPICEモデルを示す)。
(好ましい実施形態の詳細な説明)
上述のように、本発明は、製造工程で予想される変動により、集積回路および設計を検証および/または分類するためのシステムである。本発明は、集積回路の作成に関して説明されているが、本発明の技法は、プロセス変動における、あらゆる製造工程に適用することができることが理解される。そのような工程の例は、これに制限されないが、マスクバイアス、重層エラー、膜積層体厚変動、マスク層エラー、後露光焼成温度、レジスト生成時間、および後露光焼成時間を含む。本発明が適用されてもよい、リソグラフ的に組立てられた他のデバイスは、微小電気機械システム(MEMS)、ディスクドライブの磁気ヘッド、フォトデバイス、回折光学素子、生体分子を移動させるナノチャンネル等を含んでよい。
図0Aは、対の対象物または半導体ウェハ上に作成される機能10、12を含む簡略化した集積化路レイアウトを示す。従来のリソグラフィ工程において、IC設計のレイアウトは、順次対応する多角形を定義する複数の頂点として対象物を定義する、GDS−IIまたはOASISTMなどのレイアウトフォーマットに保管される。頂点間で定義される多角形の端は、付加的に、より小さい端部分にさらに細分化され、ウェハ上の多角形の製造可能性を確実にするために使用される。
図0Bは、光プロセス補正(OPC)などの解像度向上技術(RET)が実行された後の多角形を示す。示された例では、OPCツールは、フォトリソグラフィ印刷工程中に生じる行端、短縮および他の歪みを補正するために、外向きの多角形のコーナーで見られる端部分をバイアスすることにより、多角形を修正する。
図0Bに示す機能10、12のOPC修正レイアウトは、光学的歪みを補正するが、そのような歪みは、一般的に一連の既知のプロセス条件を想定して計算される。しかし、上述のように、プロセス条件は、ウェハごとまたはウェハ内のチップごとに変動可能である。変動してもよいプロセス条件の例は、これに制限されないが、焦点、量、エッチング工程、研磨変動等を含む。
どのようにプロセス変動がウェハ上に作成される対象物に影響するかを考慮し、本発明の一実施例は、プロセス条件の変動下で、対象物がどのようにウェハ上に印刷されるかを予測する、プロセス変動バンド(PVバンド)を算出する。図0Cに示すように、各PVバンドは、全プロセス条件下で印刷されるとき、対象物がウェハ上に占める予想される最小領域を定義する内端14を含む。PVバンドは、ある特定のプロセス条件下で印刷されるとき、対象物により占められてもよい最大領域を定義する外端16も含む。内端14と外端16の間のスペースは、対象物の端がプロセス条件により印刷されてもよい不明確な領域を定義する。同様に、PVバンドは、もし対象物が、ある特定のプロセス条件下で全く印刷されないなら、内端が欠けてもよい。
本発明の一実施例において、PVバンドは、複数のプロセス条件下で、複数の対象物のシリコン画像を算定することにより算出される。図0Dに示すように、代表的なプロセスは、通常、プロセスの変化が、ウェハ上の所望の効果を変動させるガウス型の分布をもつ。プロセス変動は、ウェハ上の対象物の最終端位置を予想するために使用される、印刷される端の位置、端密度の勾配または複数の他の要因に影響してもよい。一実施例において、空間対象物は、プロセスの基準条件と同様、基準を中心とする複数のサンプルポイントで算出される。これらのポイントは、一般的に、実際のプロセス変動に詳しいユーザにより提供される。
空間対象物が算定される複数のプロセスポイント増加は、PVバンドを算出するために必要とされるプロセス時間を増大することで、PVバンドの形状の精度を上げる。例えば、空間対象物が、プロセスにおいてN可変を想定して算出され、空間対象物が、各可変においてM値で算定されるなら、NM空間対象物の合計は、各対象物に対して算出される。一実施例において、対象物の最小印刷を作成する組み合わせは、PVバンドの内端として選択され、対象物の最大印刷を作成する空間対象物は、PVバンドの外端として選択される。
理解されるように、さらなるプロセス変動が解析、および/または、さらなるポイントが各プロセスで解析されるなら、算出される複数の空間対象物は、幾何学的に増加する。各空間対象物の算出は、多重プロセッサまたは並列プロセスコンピュータシステムにより処理されるが、PVバンドを算出するために必要とされる時間は、いくつのプロセス変動および各プロセスのいくつのサンプルポイントが解析されるかを選択する要因である。算出されなければならない空間対象物の数を減らすための一技法は、以下でさらに詳細に記述される。
本発明の一実施例において、選択されたPVバンド内端および外端は、OASISデータファイルの個別データ層、GDSIIファイルの個別の層または他のレイアウトデータベース表示として保存される。各データ層は、PVバンド内端または外端の形状を定義する複数の頂点を含む。代替として、単一データ層が、PVバンドの両端を含むことが可能である。
異なるプロセス条件の変動下で空間対象物を算出するための代替として、例えば、所望のレイアウトを、プロセス条件の変動の表示であるガウス関数で畳み込むことにより、異なるプロセス条件で生じる変動を予測することも可能である。
PVバンドがウェハ上に作成される対象物に対して算出されると、設計が適切な基準に達しているか、または回路レイアウトの変動が作成される必要があるかを判断するために、対象物の代替として、プロセスがPVバンドで実行される。例えば、図0Cで示すように、PVバンドの外端は、対象物10および12が共に交差し、ある特定のプロセス条件下でショート回路を作り出すことを示す。従って、設計者はこの事実に警戒し、例えば、対象物がプロセス条件にかかわらず正確に製造されるように、対象物10、12の間のスペースを増加またはレイアウトを再編成することにより、レイアウトを変更することが可能である。本発明は、製造プロセス変動の感度により、二つ以上のレイアウトを比較するためにも使用される。一実施例において、対象物のPVバンドにより占められた領域は、プロセス条件の変化に対する対象物の感度を示す。一般的に、領域が小さければ、レイアウトは、製造プロセスでの変動に対して感度が低い。レイアウトの全PVバンドの領域を合計することにより、異なるレイアウトがランクづけされる。レイアウトは、異なる回路設計を表すことが可能、または修正が設計の製造可能性を改善したかを判断するために、同一設計の反復を表してもよい。
さらに、以下に詳細に説明するが、対象領域は、異なる対象物または機能タイプにより定義することができる。例えば、近接または回路バイアスは、機能の比較に対して標準を定義する対象領域をもつことができる。対象物のPVバンドは、対象物がウェハ上に正確に形成されるかどうかチェックするために、対象領域と比較される。代替的に、PVバンドの幅、領域、周囲、オフセット、包囲空間、相対的な距離および重複部などのPVバンドに由来する特性は、対象領域と比較される。設計者が理想的な標準から一番異なる対象物を再設計できるように、各対象物は、比較によりランクづけすることが可能である。
図0Eは、本発明を導入するために使用されるコンピュータシステムの一実施例である。コンピュータのみ、またはコンピュータシステムにリンクするコンピュータ50は、コンピュータ可読媒体52または通信リンク54を介して一連の実行可能指示を受け取る。コンピュータ50は、本発明のレイアウトおよび設計検証方法を実行するために、一連の指示を実行する。
レイアウトは、集積回路で作成される複数の対象物を定義するデータベース56(またはコンピュータ50上で実行される回路設計ツールで作成されてもよい)から受け取られる。上述および以下で詳細に説明されるように、コンピュータシステムは、レイアウトを解析する。一実施例において、指示は、コンピュータシステムにレイアウトまたはその一部を読み取らせ、レイアウト設計が与えられた可変なプロセス条件で製造可能かどうかを判断するために、一つ以上の設計ルールで解析されるPVバンドを作成する。一実施例において、コンピュータシステムは、レイアウトで製造不可能な領域または特定の対象物を設計者に警告、またはプロセス変動の感度により多様なレイアウトまたは設計をランクづけすることができる。
もし、レイアウトが、PVバンドを解析するために使用されるルールのエラーまたは違反を含んでいなければ、レイアウトは、「LFDクリーン」または設計が選択されたフォトリソグラフィプロセスにより製造可能であると示すその他の表示を宣言する。ここで、LFDは、「Litho−Friendly Design」を意味する頭字語である。これは、製造に「合格」した設計ルール違反がない「DRCクリーン」のレイアウトのように、製造に「合格」した製造可能性エラーが検知されないレイアウトおよび設計に対して、現在使用されている用語である。所望するなら、製造可能性が確認されると所望の電気性質をもっていることを確実にするために、付随ツールが、設計またはレイアウトを解析することができる。コンピュータシステム50は、次に、通信リンク60を介して、または一つ以上のコンピュータ可読媒体62で検証されたレイアウトまたは設計をマスク書き込みツール70へ転送する。マスク書き込みツールは、次に、選択されたフォトリソグラフィプロセスを使用して設計の仕様により、ICを作成するために使用される複数のマスクまたはレチクルを作成する。
代替的に、コンピュータシステム50は、レイアウトの全部または一部をワイヤまたはワイヤレス通信リンク74を介してリモートコンピュータシステム80に送信する。本リモートコンピュータシステム80は、米国内または外でもよい。リモートコンピュータシステム80は、レイアウトのPVバンドを作成および/または解析することができ、一つ以上の設計ルールでPVバンドを解析できる。チェックにより、訂正されたレイアウトは、通信リンク74を介してコンピュータシステム50に返信される。代替的に、コンピュータシステム80は、訂正されたレイアウトを、直接、マスク書き込みツール70に送信できる。
上述のように、集積回路(ICs)の設計段階中、電気設計者は、機能および実装検証に依存する。客観的な機能検証は、設計の電気的正確性を判断するためである。実装検証は、IC設計が、商業的に実行可能な充分な量のIC製品を生産することを保証する。

90nmデバイスの大量生産に取り組み、65nmの試作品生産に入り、45nmおよび32nmプロセスの活発な研究を実施しても、明確な傾向が一つある。それは、集積回路の組み立てに必要な製造と設計プロセスの相互依存は、実装検証設計ルールのプロセスの不十分な説明が、先端のマイクロ電子デバイスの良好な生産を危うくするという点に達したことである。
既存の実装検証設計ルールは、性質上、主に幾何学的(例えば、間隔、包囲空間、幅、接続性、領域チェック)である。これらの幾何学的ルールは、光学、化学および電気現象を含む、複雑な製造行為の実験上の概算である。残念なことに、プロセスおよび設計コミュニティは、設計者に伝達される現在のプロセス情報が、もはや十分ではないことを認めている。設計段階の適切なプロセス情報欠如の証拠は、取得歩留まり学習曲線および最大到達可能歩留まり情報の形で定量化されている。そのようなデータの研究は、過去のプロセスジェネレーションにおいて、半ミクロンから180nmの歩留まりの減少を示す。
より新しいプロセスジェネレーションが、なぜ低い最大達成可能歩留まり(図1に示すように)を生じるかを理解するために、歩留まり損失を生み出すメカニズムを理解することが重要である。歩留まり損失メカニズムは、不規則と系統的の二つのグループに分類することができる。不規則の分類は、粒子汚染、傷、溶剤浸漬、残物、量または焦点を含み、製品の製造中でのみ最小限に抑えられる。二番目の分類は、原則として、事前に補正できる、予測可能で再現可能な現象に起因する。例えば、光学近接補正を導入することにより、リソグラフィ誘発された、イソ密度のバイアスを防ぐことが可能である。同様に、擬似充填の挿入は、一般的に、化学的および機械的研磨(CMP)または異種密度環境により誘発される光学フレアから生じる、機能劣化を最小限に抑えるために使用される。
従来、歩留まり損失の不規則要素を最小化するための強い傾向があり、デバイスの表面に付着する粒子濃度の減少を、特に強調している。1995年に、StapperおよびRosnerinは、重要な所見を挙げた。「欠陥サイズの反立方体確率分布関数は、興味深い結果をもつ。フォトリソグラフィパターン、つまり、チップ寸法の基本的ルールを直線的に収縮すると、不規則な欠陥歩留まりが不変を保持させる。」この所見が正しければ、図1で示す歩留まり曲線は、プロセス終了後、同じ最大歩留まり数あたりを変動するはずである。残念ながら、この所見は、超波長効果が広く用いられているプロセスにのみ適用される。
1995年以前、光の波長は、リソグラフィプロセスにより印刷される目標機能構造と同等またはそれより短かった。図2Aは、目標形質規模をリソグラフィプロセスにより使用される波長と連結する、良好な公表されたグラフを示す。解像度は、波長に加え、多くの他の変動に依存するが、四分の一ミクロンより小さい構造をプロセスするために、歩留まり分析ツールが対処のために必要とする、有用な効果の質的説明を提供する。
図2Bは、機能サイズごとの最大達成可能歩留まり、および数多くの製品とプロセス実施の各プロセスに対する歩留まり学習曲線を示す。挿入画AとBを結ぶ矢印は、半波長ギャップのサイズに対する歩留まり損失の突然のジャンプの質的対応を試みる。興味深いことに、180nmプロセスは、130nmより小さい目標機能の全プロセスに対してより高度な形で広範囲に使用されている光学近接補正の基本型を最初に導入した。
今まで、新しい解像度向上技術(RET)が効果的に実行されていたので、この時点で、RETが半波長ギャップを埋めると想定するのは、賢明である。ある特定の新規RET方法は、理論的に、これらの問題に対処するが、特定のパターンまたは幾何学的位相においてのみに対処する。これは、あらゆる半波長プロセスにおいて実行されなければ、解像度が開口数、部分干渉および波長のより典型的な光学制限により制限されたままになるであろう、特定の制限があることを意味する。
明示的にプロセス変動を含むことにより、歩留まり損失メカニズムの分類を広げるこが、今、可能である。これは、系統的歩留まり損失要素の完全な除去が、定義されるプロセス変動の絶対および完全な制御を要求するので、必要である。このような正確な制御は、いかなる実プロセスにおいても存在しないため、全歩留まり損失メカニズムは、基本的に不規則な原点をもつ。しかし、設計において、これらの不規則な変動がもつ影響力は、プロセス変動のタイプおよび強度に対して、特定の要素の系統的感度に依存する。
例えば、厚みの耐性の変動を想像する。この変動は、ダイまたはウェハ間の異なる焦点のずれの条件へと移転する。近年の研究は、標準偏差において、約20nmでウェハ内の内因性プロセス制御を予測している。プロセスは、一般的に6つの標準偏差内で制御されるべきなので、焦点深度(DOF)の内因性必要条件は、240nmである。
プロセス変動が最終歩留まりにどの程度影響するかは、設計のそのような変動への強度および系統的感度に依存する。統計プロセス変動法に従うと、Bowmanは、これらの不規則なプロセス変動の影響力は、プロセスジェネレーションと同等の性能の損失を意味すると判断した(図4)。
Bowmanの研究が考慮していないことは、プロセス変動は、均等に設計の全構造に影響を与えないということである。従って、プロセス変動自体が完全に除去されなくても、レイアウトを修正することにより、本変動への感度を最小限に抑えることが可能である。
プロセス変動は、位相または電気レベルで観察される。位相レベルは、限界寸法(CD)を含む、デバイスの形状および物理的属性、最小ピッチおよびパターン密度に関する。位相変化によるが、変動が設計の電気的に敏感な領域(例えば、一対のマッチングしたトランジスタ間のポリシリコンゲート幅の相違、または順次抵抗が増加する長い金属線間の狭さ)で生じると、電気性質が影響される。同時に、擬似充填タイプ、短い相互接続経路または拡散層の非決定的コーナーにより誘発された位相変化は、デバイスの電気性質において、一切の観察可能な差を示さない。
現在まで、適合度(先端のRETにより達成される)およびパターン確実度(製造を考慮した設計により達成される)のような形状パラメータは、本質的に、設計の製造可能性において考慮された。しかし、形状または構造にあまり依存しない他のプロセス効果も、電子デバイスの最終操作に貢献している。例えば、ポリゲート幅が、完全に一致し、確実度が確立されても、イオン注入法、拡散または材料選択などのプロセス段階が、別に、修正設計ミスをする可能性がある。
前記段落を意識して、本発明は、製造の位相または形状の側面において、適切なDFM原則を適用する。以下に示す説明は、集積回路製造の完全な説明に必要な材料科学または電気性質の側面を含まない。代わりに、デバイスの電気性質において、形状および位相がもつある特定の電気変動を定量化する。
本発明は、本質的に、形状に関する効果に方向付けられるが、本発明は、非形状に関する効果(適切なプロセス材料または量子トンネルの欠如など)に及ぶ。
1.2 IC−DFM法の傾向
現在、DFM原則は、幅広くしられており、D.M.Anderson(2004)により説明されたように、多くは、IC製造に直接適用されている。
・製造問題の理解。現在/過去の製品の課題
・簡単な加工、プロセス、組み立てのための設計
・特定のプロセス設計基準への密着
・同時設計ツールによるツールの複雑化の最小限化
・頑丈な設計のための最適な耐性の特定
・賢明な耐性段階機能の理解と耐性の特定
DFMコンセプトは、すでに他の製造分野(例えば、自動車および消費製品)で実践され、あらゆる製造業にあてはまる、明らかな競争利益を提供する。
・製品設計は、どれだけよく機能が作動するかの機能セット、つまり製品の市場性を確立する。
・製品開発プロセスは、いかに迅速に新しい製品が市場に導入されるかを決定する。
・製品設計は、どれだけ簡単に製品が製造され、どれだけ簡単に製造改善を導入できるかを決定する。
これらのコンセプトに関する価値は、半導体業界に強い関心を与えた。残念ながら、DFMに対して提案の不足はないが、DFMの特定の詳細における一般的な同意はない。言い換えれば、DFMの結果においての同意はあるが、どのように達成するかの合意はない。多くの研究者により述べられた全ての必要条件を考慮すると、Liebmannが、良好なDFMシステムの一般的な必要条件を提供していると考えられる。
・非常に精度な解像度のパターンでの製造可能性の向上。2−d内容の厳格な制御に依存しないレイアウトは、二光波画像のリソグラフィの制限内でも機能する。
・設計の移動可能性を将来のテクノロジノードに確保。新規レイアウトの資源および時間投資は、最小限の再設計努力で、複数のテクノロジ世代のチップ設計を使用するのに必要である。
・密度および機能競合チップ設計の許容。リソグラフィを最適化するが、次のテクノロジノードへの移動のあらゆる利益を消去する拘束は、意味をなさない。
・単一設計およびプロセス解決策で、顧客対象の広域なスペクトラムの位置づけ。マスクおよびウェハ製造のコストをテコ入れするために、異なる顧客ニーズが、共通のプロセス解決とともに位置づけされなければならない。
DFMへの既存の新しいアプローチの提案および提出前に、既存のIC設計フローについて知ることが重要である。これらは、非常に変動し、常に進化する。図5は、設計および生産活動を、論理、仮想製品および実装製品の主な三つのカテゴリーに分類することにより、非常に一般的な用語で、典型的なIC設計フローを示す。
この構成は、論理設計中、対象物が、製品コンセプトにより確立された機能的必要条件を把握することを意味する、製品の進化に基づく。この時点で、製品は、最終実装の情報をほとんど含んでおらず、コンセプトまたは構成段階にとどまる。異なる作業工程が進むと、製品は、マクロ、セルおよびライブラリが最初に生成する、仮想形式を取り始める。さらなる情報が、製品の最終実装について要求され、継続する変動ステップが、要求される。
最初のステップは、論理と実装対象物間の一次的な接続性および一貫性が比較される、レイアウト対概略的(レイアウト対ソースとして知られる、LVS)チェックを含む。二番目に、設計ルールチェック(DRC)として知られる変動は、主に、製造中のパターン移動およびパターン統合問題に対処するために使用される。最後に、電気ルールチェック(ERC)は、プロセスの完全な特徴を実施することにより、製品の機能によって、デバイスの電気性質を評価する。特に重要なのは、最終位相および材料選択に特定される、抵抗、容量およびインダクタンスなどの作用である。
製品は、設計チームから加工施設(Fab)に移動される間、仮想状態にとどまる。情報が、加工施設に到着すると、パターンに関連した問題を再度チェックする。マスク合成操作は、解像度向上技術(RET)、CMP平坦化の充填、およびマスク生産のデータ断片を使用して、より製造可能にするため、さらにレイアウトを修正するのに使用される。マスク合成は、仮想製品の各レベルでなされるべきである。
仮想製品のさらなる修正がなければ、実際の製造が進められる。この時点で、製品は、最終実装の形をとり、検証、試験、保管および配給のために梱包される。また、この段階で、プロセスグループは、製造への課題となる、問題のある位相または構成について学習し、使用された材料の実際の性能について、さらに学習する。新たに取得したデータが、収集され、ERCおよびDRCルールが、プロセスの現段階を示すために、常に更新される。
最近、複数の企業が、典型的な設計フローを効果的に実行するためのツールをもつ。1999年に、WeilerおよびSchellenbergは、既存の設計および製造ツールを、DFMシステムの基礎を提供する、単一フローに統合する必要性を述べたが、5年後、DFMの分野での発展はほとんどない。
IC産業でのDFMのより一般的な導入への主な障害は、RETの出現とその後の成功であった。RET操作は、1999年に勢いをもち始め、広範囲で使用され、現在の他の基本構造部分をほぼ未変化に維持した。マスク合成操作のみが、基本的な変化を受けなければならなかった。サブ波長以前、単純なブール操作、ローテーションおよび断片化が、良好なマスクを製造するために要求されたが、完全な一連のより根本的な修正が、適切なパターン適合度の保証のために必要であった。RETの成功および生産と設計チーム間の持続的で継続的なコミュニケーション達成の難しさは、ICおよびEDA産業に、彼らの資源をRET作業に集中させることを促進したが、DFMへのより一般的なアプローチの面では、ほとんど達成されなかった。適切に実行されると、DFMは、「目標」レイアウトに対し、修正を実行する行動を適用する。これに対し、RETは、ある特定の「目標」に見合うように、レイアウトに対し修正を行う。
RETの相対的効果は、各連続的プロセスノードとともに減少しているので、本来のDFM作業は、研究および評価される必要がある。生産に使用される機械設備は、解像限界に達しており、プロセス変動に対するレイアウト感度は、歩留まり損失に対する多大に寄与することが原因(まだ、よく定義されていないが)である。
良好なDFM実装の課題の一つは、それを導く枠組みの欠如である。従来の検証と修正方法という単純な付加の使用による、設計の製造可能性の定量化に必要な数多くの実験は、そのようなアプローチを非実用的にする。枠組みを持つ意味は、入手可能な情報を、製造変動を補うのに使用可能な持続的でコンパクトな形に統合するため、事前に定義された一連のガイドラインを提供することである。これは、枠組みが、設計の製造可能性問題を検知、ランクづけすることを提供し、補正プロセスを導かなければならにことを意味する。さらに、そのような枠組みは、広がった採択を認定するために、可能な限り、既存の設計フローを修正しないことである。これは、RETが偏在的である主な理由の一つである。
現在、大量の電気性質によりデバイスのプロセスコーナーモデルを改善することが可能であり、よって、理論合成で、より強固な設計を達成できると信じるグループがある。これらの方法は、相互接続遅延および時間閉鎖(timingclosure)の明確な作用があるが、
プロセス変動に対して高感度のため、的確に特徴づけできない禁止された位相の情報を提供できない。パターンロバスト性の観点からのDFMのアプローチ、およびプロセス変動に対して感度がより低いレイアウトの作成により、これらの方法は、従来の電気モデルで効果的に捕らえることができないパターン移動効果を説明することなしに、材料面およびデバイスの電気性質を説明しようとするとき、有効である。この理由から、そのようなアプローチは、競合というより、むしろ、DFMのこのパターン移動提案に相補的であると考えられる。
以下のセクションは、パターンの製造可能性を向上するために検討された、リードする各先行技術の技法における利点と課題を評価する。これらの多くの技法は、現在、ポストRET検証のために評価されているが、基本的に、これらは、設計をより製造可能にするために必要とされる位相修正を設計者に検知させるために、設計環境内で使用される。
1.2.1 決定領域の解析
決定領域の解析は、従来、半導体産業では歩留まり予想の基本であった。このような方法は、検査の下で継続している。2004年に、Asamiと共著者は、広範囲の様々な製品の状態下で、180nmプロセスの歩留まり損失を予想するために、どのように決定領域が正常に使用されるかの方法論を発表した。
決定領域(Ac)は、方程式1が提案するように、等価の半径(r)の粒子欠陥サイズに対して定義された機能である。
Figure 2011059713

欠陥密度機能に沿った決定領域は、予想されるように、欠陥粒子サイズの等価の半径による、故障要因F(R)を一般的に定義する。決定領域方法の継続した成功は、物理的源の不規則な欠陥独立性に由来する、故障率を公式化するための「粒子」コンセプトの延長に基づく。これらの公式は、常に、非粒子(ほこりのような、「非物理的粒子」のように)欠陥メカニズム(例えば、耐崩壊性、耐ブリッジ性または断面および金属ストレス)を説明するために使用された。決定領域公式の使用は、明確な欠陥メカニズムおよびそれらの個々の故障率が、製造中、継続的なサンプルをとることにより明らかに特徴づけされるとき、可能である。
しかし、決定領域の解析は、二つの主な欠点がある。新規プロセスの初期の歩留まり予想は不可能であり、数理的機械により複雑なプロセス効果を組み入れることができない。決定領域は、概して、製品の予測された歩留まりの最良の推定を提供するが、解析は、製造中に十分なデータが収集された後のみに、行われる。決定領域のみでは、新しいプロセスに入る前に、IC製品の歩留まりを予測できない。本方法は、大量の過去の情報を使用してのみ、予想された歩留まりを推定する。
二つ目の制限は、短い範囲効果による、経験モデルの使用による結果である。超波長プロセスにおいて、決定領域を定義するために、空間と幅測定のみを使用することが可能である。しかし、システムの解像度が、深部の超波長(半波長以下)の領域に達すると、大規模な領域のレイアウトの内容が、高感度領域を適切に捕らえるのに必要とされる。
あらゆる技法と同様に、決定領域の解析は、利点もある。幾何学的ルール(算出を早くする)および膨大な実験情報(十分なデータが入手可能だと、正確な予測ができる)ことは魅力的であり、そのまま維持することが予想される。
1.2.2対照改善
リソグラフィは、サブ波長ギャップ(図2A)により、系統的歩留まり損失の最も重要な原動の一つであり、画像コントラストは、画像ロバスト性の基準として、長く使用されてきたので、多くのDFMグループが、ある特定のレイアウトのロバスト性を評価するための基準として対照に使用しようとしたのは、不思議ではない。
画像コントラスト改善の利点は、行端のラフネスおよび従来のリソグラフィプロセスウィンドウ(より深部の焦点および露光寛容度)の制御である。しかし、画像コントラストは、光量(レジストおよびマスク誘発エラーでの既知の確実な効果ではあるが)を維持するため、腐食、化学金属研磨または複数層などの他のプロセス効果を組み入れるために、広げることができない。さらに、図7が示唆するように、複数層の画像コントラストを最大限にすることは、簡単ではない。本図の著者は、解析をポリシリコン層に制限し、本設計にみられる他層の製造可能性のそのような修正がもつ効果の説明を殆ど示さない。
他の留意点として、多くの化学的に増幅されたレジストは、非線形であるため、画像コントラストは、設計の主な感度のみを捕らえ、実際のCD制御に直接変換しないことである。CDの影響力および変動を知ることは、アナログ設計が直面する、本方法で確実に位置付けることができない、決定的課題の一つである。
本考察に基づき、本質的なデジタル設計および単一層仕様の方法のための範囲の適用を即時に扱う。これは、全プロセスウィンドウ条件の画質の最良の予測を生成するために、光学シミュレーションを要求するかもしれないため、高速モデルに基づいた方法の一つである。これは、本明細書で後に記述されるように、あらゆるモデルに基づいたDFM法の非常に望ましい特性である。
1.2.3 マスクエラー促進因子(MEEF)縮小
レイアウトの感度に依存する最初の測定法は、MEEF(マスクエラー促進因子)である。MEEFは、基本的に4Xである画像縮小因子(M)で割ったマスクの構造幅(CDマスク)の変化分の構造幅(CDウェハ)の変化として定義される。MEEFは、方程式2で表される。
Figure 2011059713

決定領域と画像コントラスト方法が、シングルパス計算に依存する一方、MEEFは、変動数量である。適切に算出するために、マスク上の構造は、対応するMEEF値を得るのを可能にするために、ウェハ上の誘導されたCD測定前にバイアスされる必要がある。この明白な制限でさえ、本測定法は、現在、ポストRET検証としての使用に提案される。
MEEFは、RET対象物が目標レイアウトにできるだけ近い全構造をもたらすので、ポストRET検証によく適する。しかし、マスクが完璧に製造される可能性があるという仮説がある。付随の測定法としてMEEFを使用することにより、不完全なマスクにより誘発されたCDエラーに影響されやすいマスクの位置を強調することが可能である。
本測定法は、プロセス誘導されたCD変動(マスクを作成するプロセス)の相対尺度を含むが、基本的に光学的にとどまる。さらに、CDは、一般的にコーナーおよび低アスペクト比機能において、明確に定義されていないため、MEEFは、一次元的な方程式にとどまるといえる。特にサブ波長機能において、高周波対象物(コーナーまたは密構造)は、光学システムにより明確に捕らえられておらず、他のパターン移動効果が、重要になり始め、CD変動および制御のより一般的な定義を採択する必要がある。決定領域解析を除いて、パターン移動DFMシステムに対する現在の全提案は、一方向または他方向において、層で機能しないであろう位置を探知しようとする、RETおよびリソグラフィグループにより展開された。
1.2.4 決定的欠陥光学ルールチェック(Critical Failure Optical Rule Check:CFORC)
層の推定欠陥位置を探知する近年の方法論は、光学画像量にも依存する。本技法は、決定的欠陥光学ルールチェック(CFORC)と呼ばれ、全プロセスウィンドウの欠陥および非欠陥領域を写像(map out)する。図9は、印刷されない領域から確実に印刷されるプ
ロセス領域を区別するプロセス包絡(または境界)を示す。
本欠陥モデルは、構成が印刷される、または印刷されない、いずれかの実験上のバイナリデータにより較正される。これは、CD値が、ある特定の特徴を捕らえ、確実に測定できない位置が、較正中に除去される、従来のプロセスモデル較正と異なる。
本モデルは、画像パラメータ(図9の因子で示す、最小および最大強度と二次元画像パラメータのような)実験写像およびレイアウトの印刷可能性または非印刷可能性に依存する。従って、一光学シミュレーションは、全プロセスウィンドウ条件で機能しないであろう領域を探知することができるという利点を提供する。しかし、計算のスピードは、物理的モデルを、較正に使用される領域外の予測力に欠く実験モデルと交換することにより改善され、本方法を、較正に含まれる試験構造から生じる空間サンプルを処理するのに、非常に敏感にさせる。
1.2.5 制限的な設計ルール(Restrictive Design Rule:RDR)
全ての前述した技法は、製造中に問題を生み出す可能性がある、レイアウトでの領域を探知および強調することができるが、特定のレイアウト位相を直接、指定または禁止しない。リソグラフィグループにより広く支持されるアプローチの一つは、制限的な設計ルール(RDR)の使用である。このアプローチは、明確に定義された周波数をもつホモジニアス構造が、高度に二次元である対象物より、より簡単に製造できるという、一般原則により指針される。
Liebmann(2003年)は、より製造可能なレイアウトの以下の原則を確立した。制限された狭線幅....
・狭構造の単独配置....
・一定で粗いピッチ上に位置する狭構造....
・全重要ゲートの一定の近似環境....
・重要ゲートの制限された数のピッチ。
従来、設計者は、非常に大規模な設計領域を必要とすると考えるため、そのような限定されたルールを受けない。領域、タイミングおよび電力は、設計者が責任をもつ三大分量なので、これらの三大基準に影響をもたらす限定された設計ルールは、設計者に歓迎されない結果となる。しかし、多くの調査は、積極的な設計ルールにより生じる領域ペナルティーは、設計者とリソグラフィグループが新規目標測定法としてパターン移動製造可能性を含む解決策の方向へと共に作業すれば、予想よりも大きくないことを示唆する。
残念なことに、限定された設計ルールの使用は、レイアウトが、製造可能性により解析およびランクづけされる、系統的方法がないので、広く採用されていない。他の全ての設計目的は、明確な手順および性能指数(タイミング(最大クロック周波数)、電力(移動用途で電池の寿命を改善する、ワット数)および領域(ウェハごとにより多くの製品を移動する平方ミクロン、よって値段の削減))をもつ。しかし、現在まで、十分早期にプロセスでの製品の歩留まりまたは信頼性に関する測定法がなかった。
さらに、多くのRDRガイドラインは、一般的に、適切だが、レイアウト設計者に製造に使用されるプロセスの明確な理解、または、少なくとも、「一定の近似環境」の本来の意味を判断することができる、レイアウト設計者とRETエキスパート間の強い相互作用をいまだ要求する。
これまでにおいて明白なように、IC生産過程の異なるグループは、最良な、より経済的に強い設計を生産するための方法を改善するために、様々なアプローチを使用する。本枠組みの目的は、近年の全パターン移動DFM提案に固有の長所を構築し、弱点を回避するためである。本提案で使用された多くの個々のビルディングブロックは、すでに存在するが、これらのツールの形式的で論理的なパターン移動DFM枠組みへの統合は、欠けている。
以下のセクションは、プロセス変動に感度が低い設計を達成するための実装の詳細である、本発明の一実施例および試験を説明する。本発明の主な目的は、より確実なレイアウトの開発であるが、本発明は、デバイスの電気性質上で、よりロバストな構造が有する効果を評価するのにも使用される。
2.IC−DFM枠組み
一実施例において、本発明は、「LFDクリーン」であることを確保するために、ICレイアウトの検証のためのソフトウェアの枠組みとして実装される。本枠組みは、より一般的に、レイアウトの評価での製造可能性の基準を考える、あらゆるレイアウト検証方法に適用でき、リソグラフィ中に生じる製造可能性の問題のみに制限されない。
本ソフトウェアは、3つの要素を含む。
・対象物:設計の意図およびプロセス条件をとらえる要素。
・オペレータ:対象物の選択または定量的情報の抽出で行われる操作。
・ガイドライン:継続的な対象物およびオペレータ統合の推奨。
各要素は、以下に詳細を説明する。あらゆる新規技法において、プロセスと設計間の相互作用のさらなる情報が確認されると、本DFM方法は、拡張および強化に開放的である。しかし、一貫性および有用性を維持するためには、前述の3つのカテゴリに当てはまる要因のみが、望まれる。
本発明は、高速な製造モデルが利用可能であるといる仮説で操作する。パターン移動は、リソグラフィ、腐食および平坦化の相互作用に依存するが、本発明は、提案された方法論を示すためにコンパクトなリソグラフィモデルを使用する。その理由は、高速プロセスウィンドウリソグラフィモデルが、事前に較正され、実験測定学のそれと比較可能な精度レベルでリソグラフィ効果を再現することを示すからである。
リソグラフィ効果のみが、以下のページで調査されるが、新しいプロセスモデルがCMPまたは金属化などの他のパターン移動効果に利用可能になったので、本明細書で記述された枠組みに引用されることを理解するものとする。これは、以下のセクションで説明される製造可能性対象物が、プロセス変動に対する変動性反応であるため、達成される。プロセス変動の性質は、製造可能性対象物の実際の計算に関してのみ有効である。プロセス変動の数およびタイプは、製造可能性対象物の計算中のさらなる処理時間を必要することによってのみ、枠組みに影響を与える。枠組みにより説明された解析方法は、継続して適用可能である。
2.1 製造可能性対象物:レイアウトおよびPVバンド
あらゆるDFM方法論は、設計および製造要素を統合する。
この場合、設計対象物は、まだ設計環境内にある物理的レイアウトである。本条件は、最終レイアウトが、意図する位相の十分な情報をもち、レイアウト位相に対するいかなる補正が、電気的に再評価されるべきであるという、二つの理由のために望まれる。そうでなければ、関数的貢献なしで、高度な製造可能性グリッド構造を達成することが可能である。
製造対象物において、本発明は、プロセス変動バンド(PVバンド)のコンセプトを紹介する。PVバンドは、プロセス変動に対するレイアウト感度の物理的表示として定義される。PVバンド内の領域は、最終端が製造中に生じる可能性がある位置であるが、バンド外の領域は、端が生じる可能性が低い位置である。PVバンドの典型的な表示は、同じ媒体で、GDS−IIまたはOASISTMのようなレイアウトカプセル化フォーマットの一つ以上のデータ層と同様のレイアウト自体の表示であろう。PVバンドを算出する一方法は、複数のプロセス条件でパターン移動画像を処理し、次に、図11に示すように、特定の確率基準を使用して最大および最小の端変位を抽出する、一連のブール操作を実行することである。
全ての可能性のあるプロセス条件の高機能なサンプル法が、研究下のプロセス(例えば、リソグラフィのRET、またはウェハプロセスによる腐食バイアスの統計的変動の選択)の適切な説明と同様に、考慮されるべきであることは明白である。
図12が示唆するように、PVバンドは、常に印刷される領域と決して印刷されない領域との間の不確定領域であり、よって、特定の位相移動の可能性を評価するメカニズムを提供する。言い換えれば、PVバンドが小さければ小さいほど、正確なパターン移動の可能性が高い。図12は、エネルギー量と画像焦点ずれ(典型的なリソグラフィプロセス制御変動)を示すが、明確に較正された物理的モデルが利用可能であれば、印刷可能な痕跡を導入するので、あらゆるプロセス変動が、組み込まれる。
これらのサンプル対象物は、本枠組みの基本を提供する固有な特性をもつ。PVバンドは、以下の方法の一つで小さくすることができる。非常によいプロセス制御、およびより高度なプロセスまたはよりロバストな設計。さらに、PVバンドは、あらゆる層またはプロセスのために算出され、併用すると、内層変動のために計算される。例えば、接続パッドと接触変動バンドが重複すると、接続不良の可能性が上昇する。ポリシリコンと拡散層変動バンドが重複すると、短回路の可能性が増加する。異なる層のPVバンドの相互作用を評価する能力と本相互作用から情報を取り込む他のPVバンドを合成する能力は、本発明の特に価値のある実施例を示す。
各効果は、重要性に基づいて重み付けされる。ショートまたはブリッジを生成する変動は、致命的な欠陥を生じない他領域の同等の変動より多大に貢献することを証明する。そして、これらの高度なプロセス感度領域は本質的に部分的であるため、内部ダイ変動情報を提供する。
プロセス変動は、時間の経過とともに減少するため、同じ形式は、(不確かさがより高いとき)開発下でのプロセスおよび(良く制御されるが、不確かさが完全に除去されていないとき)完全プロセスのために使用される。よって、継続的な発達の道を提供する。これら全ては、PVバンド形式の使用による質的利益であるが、枠組みも定量的方法でランクづけ設計および製造プロセスのメカニズムを提供する。
特定の設計チェックにおいて、図面化されたレイアウトに関して、全パターン移動変動を測定することも重要である。図13に示すように、実質PVバンドは、プロセス変動により、単独で定義される。対照的に、絶対PVバンドは、目標レイアウトに一致するまで内部または外部PVバンド端をバイアスすることにより、設計レイアウトを完全に包囲するべきである。
絶対PVバンドの主な適用は、意図するレイアウトに関して、パターン移動のずれを測定することである。以下の説明において、PVバンドは、とくに記述がない限り、実質PVバンドとみなす。
本アプローチの他の新規性は、設計の製造可能性がPVバンドの相互作用に依存するため、感度が複数層にわたり算出されることである。合成図は、全製造可能性感度を計算するために作成され、図14で示すように、特定のプロセスにおいて最低または最高のパターンロバストをもつ領域を強調することができる。PVバンドに含まれる情報は、レイアウトデータ表示/圧縮の向上により、設計中、効果的に表示され、処理される。
2.2 オペレータ
オペレータは、対象物に動作するソフトウェア構造である。より一般的に使用されるオペレータのいくつかは、レイアウト作成中に広く使用されており(ブールOR、ANDおよびNOT)、GDS−IIまたはOASISTMデータ上で操作する他のソフトウェア製品に対して一般的である。一方、他は、PVバンド対象物自体(例えば、E2I、E2EおよびI2I)に依存する。表1は、いくつかの代表的なオペレータをリストにあげ、説明する。
(表1 枠組みオペレータの説明)
Figure 2011059713

論理相互作用のあらゆる実施例として、様々なプログラム言語が、これらのオペレータを作成するために使用される。これらは、メンターグラフィックにより、SVRFのような言語で、一般的なDRCツールの構造内に書かれる。これらは、Tcl/tkなどの一般的なスクリプトを使用してスクリプトとして書かれる。これらは、C、C++またはFORTRANなどの編集されたコンピュータ言語でコード命令として直接書かれる。または、これらは、層限定操作のために、特別に設計されたハードウェア用の専用マイクロコードとして作成される。唯一の必要条件は、これらのソフトウェア構造が、指定された対象物を読み取り、ユーザが解釈できる結果を供給することである。
2.3 ガイドライン
製造可能性およびオペレータが定義された後、第三の要素は、統合およびそれを効果的に使用する、一連のガイドラインである。これらのガイドラインは、一連の設計ルールと同様に簡潔であり得るが、編集された形で、多くの製造可能性ルールと動作の取り込みを拡大させる。これらのガイドラインは、一般的に、レイアウトおよび製造可能性プロセスの多様なパラメータの一連の不均衡として表現され、上述のオペレータに使用されるプログラム表現のいずれかに組み込まれる。
図15は、どのように新規設計要素が、既存の設計方法論に最小限のインパクト、つまり、既存のIC設計プロセス内で、本方法論の自然な挿入ポイントを定義することで従来の設計フローに組み込むことができるかを(グレーで)示す。本方法は、レイアウトが機能しない可能性があるかを判断するため、図15に示すように、基本的に、電気シミュレーション前に使用されるべきである。レイアウトが確実に製造される時のみ、電気解析に進むことに意味がある。
新規または修正された各操作は、新しい要求条件に準拠する。
1.レイアウト:パターン移動効果が、周囲の位相学に非常に依存するので、レイアウトは、プロセスにより課される影響範囲を指定するのに十分な大きさでなければならない。2.プロセスモデル:これらのモデルは、確実に、プロセス変動内の最大および最小パターン反応を特定しなければならない。存在しない全プロセス変動を説明する単一モデルにおいて、これらのモデルは、予測を、特定の、明確に定義された効果にのみ制限するべきである。これにより、複合PVバンドは、多くのプロセス効果の最大変動を表し、パターン変動の大きな原因の情報を保持する領域を識別するために使用される。
3.プロセスベースの設計ルール:これらのルールは、設計違反を定義し、最も敏感な領域を識別する。これらの結果は、最大変動の領域を識別し、製造可能性の定量的な測定法を抜粋するために使用される。
4.PVバンド算出:本算出は、プロセスベースの設計ルールと併せて、プロセスモデルを使用する。
5.レイアウトのラングづけ:電気シミュレーション処理前に、本レイアウトランクづけ測定法は、設計製造可能性の目標として貢献するプロセスベースの設計ルールが、エラーを返さなくても、さらなる改善の機会を提供する、継続的な測定法を考慮することが可能である。
6.補正(設計環境内):補正は、設計環境に存在し、プロセスベースの設計ルールにより提供される、結果の異なる解釈を要求する。典型的な設計ルール違反は、位相変化(例えば、構造圧縮)または形態変化(例えば、コーナーの切り取り)により調整されるが、これらのルールには、一般的に、位相変化が要求される。
2.3.1 製造ルールチェック
RDRは、設計で許容される位相タイプを積極的に制限することにより、設計をより製造可能にしたが、過去の経験および規制されたルールの幾何学的表現の存在の仮定に大きく依存する。RDRを高める可能性の一つは、レイアウトの実際のシミュレーションに由来するプロセスベースの設計ルールの使用である。
本方法は、プロセスベースの設計ルールを、基準の歪みおよび形状解析からではなく、PVバンドから引き出す。パターン製造可能性ルールは、ブールおよびPVバンドの空間チェックの実行により構成される。これにより、典型的な一連の設計ルールは、PVバンドに基づく、新しい設計ルールに転換される。
図16は、目標幾何学構造(左)とポリ、活性およびコンタクトの三層のPVバンドの図式的説明(右)の簡単な例を示す。完璧なモデルプロセスにおいて、ルールは、それぞれのPVバンド重複部を識別するのと同じくらい単純である。しかし、より一般的な説明は、追加の安全幅として役立つ、または電気ルールに依存するルール容認度を特定する。
図16に示すルールは、現在、前に定義されたオペレータおよび対象物を使用する、一連の方程式として正式に表現することができ、従来の各パターン関連の設計ルールは、本来の意図と連結することができる。全ての設計ルールが本形態に含まれるわけではない。例えば、全ての電気設計ルールは、製造の材料面によるため、含まれない。
Figure 2011059713

2.3.1.1 決定領域の識別:内層
ANDオペレータは、各PVバンドが端ごとに生成されるので、単一層に適用される。ANDオペレータは、明示的なPVバンド重複部の探知としても役立つ。これは、書き込みルールを簡略化し、プラスまたはマイナス距離の不要な定義を回避する。二番目の方程式は、プロセスモデルまたは電気的に正当化された設計ルールの制限を含むために使用された、ユーザが定義した幅に関連する。
2.3.1.2 決定領域の識別:層間
表1に記述したオペレータの使用により、欠陥メカニズムの特定のタイプを識別することが可能である。これは、ゴア設計ルール(方程式6)の場合である。これは、図18で示すように、末端キャップ欠陥は、ポリシリコン(ポリ)および活性PVバンドの重複により識別される。
支持領域は、描かれた行終端、およびポリシリコンPVバンドの外端の境界により定義された領域を含む。支持領域は、単一層で役に立つが、これらは、多くの層間設計ルールにとってより重要である。
決定領域を識別するために、モデルを使用する利点の一つは、以下の例で顕著となる。行終端が、PVバンドの重複のために機能しない(図18A)時、典型的な補正が行終端を拡張する(図18B)。しかし、図19が示唆するように、行終端拡張を必要としない(図19A)他の構成があるかもしれない。このような拡張により、ポリシリコンブリッジのような他のタイプの違反が生じざるを得ない(図19B)。
2.3.2 システムランクづけ:製造可能性指数
製造チェックは、レイアウトの不具合が生じやすい領域を強調するのに役立つが、製造の観点からレイアウトの変動を評価するメカニズムは、今までない。
プロセス変動指数および設計変動指数の定義により製造可能性指数を導入することが、クリーン設計ルールの二つのレイアウトが異なるパターン製造可能性動作を返す時に生じる問題を解決する。
プロセス変動指数(PVI)は、ある特定の設計の画像変異の平均難易度に関する。設計変動指数(DVI)は、ある特定のプロセスに敏感な多くのレイアウトの位置に関する。プロセス製造可能性指数(PMI)および設計製造可能性指数(DMI)とも呼ばれる。
定義によると、PVIは、世界的測定法であり、設計の欠陥位置の特定の情報を提供しない。しかし、この弱点は、プロセス能力または完全な層の全動作を認定するのに、理想的なようにみえる。簡単な形式において、PVIは、以下のように示すことができる。
Figure 2011059713

さらに、設計で最も機能しない可能性のある領域を強調するために、本質的に役立つDVIを定義することができる。この場合の所望の数は、ゼロである。
Figure 2011059713

方程式11において、設計ルール違反は、製造チェックによる結果であり、支持層は、対象領域に関して、エラーを正常化するために使用される。
設計ルール違反のコンセプトは、設計の非重複領域で生じる欠損のみを含むことにより強化することができる。しかし、現説明において、方程式は、非重複論理に限られている。
即時に、4つの条件を確認することができる。
条件I.望ましい:本プロセスは安定しており、設計は、製造可能である。
PVI→0
DVI=0
条件II.設計の制限:プロセスは安定しているが、設計は製造不可能である。
PVI→0
DVI>0
条件III.プロセスの制限:プロセスは不安定だが、設計は製造可能である。
PVI>>0
DVI=0
条件IV.望ましくない:プロセスは不安定で、設計は製造不可能である。
PVI>>0
DVI>0。
ユーザ定義可能な許容度に依存し、不連続な機能であるため、DVIは、ゼロ値をもつことができる。しかし、PVIは、基本的な制限(PVImin)をもつ、連続的な機能である。従って、製造可能性条件のより実際的な定義は以下のようである。
条件I.望ましい:本プロセスは安定しており、設計は、製造可能である。
PVI→PVImin
DVI=0
条件II.設計の制限:プロセスは安定しているが、設計は製造不可能である。
PVI→PVImin
DVI>0
条件III.プロセスの制限:プロセスは不安定だが、設計は製造可能である。
PVI>>PVImin
DVI=0
条件IV.望ましくない:プロセスは不安定で、設計は製造不可能である。
PVI>>PVImin
DVI>0。
両指数は、プロセス特定である。これらは、設計ルールの数と同様、既存のプロセス幅に依存する。しかし、指数の定義および引数が修正された後、指数は、進化するプロセスと設計スタイル間の定量的比較を許容する可能性をもつ。
2.3.3. 影響の領域:必要な設計ドメイン
新しいプロセスは、小型で高密度の機能に向かっているので、事前に補正できる領域は、幾何学的に縮小している。この理由により、シミュレーション領域は、目標テクノロジおよびプロセス効果に一致するべきである。
レイアウト作成は、二つのカテゴリに分類される。最初は、特注レイアウトで、基本的に、マイクロプロセッサ、メモリセルおよびFPGA設計に使用される。二つ目で最も一般的なカテゴリは、事前に存在するセル(機能ユニット)が、所望の電気システムを含むように位置づけおよび経路づけされる、ライブラリ由来のデジタル配置および経路を使用する、自動レイアウト生成に基づく。
標準セルの配置依存領域は、消滅している(図20が示すように)ので、大きなブロックが、設計の感度を的確に捕らえるために解析される。これは、ライブラリ由来のデジタル配置および経路が直面する主な課題の一つである。従来、配置および経路ツールは、経路および結果的な時間密着効果により影響を受けるセル配置のみを取り扱った。
これは、IP提供者が、ライブラリが、リソグラフィ、腐食または平坦化のようなあらゆるパターン移動プロセス中、強いプロセス感度の対象にならない方法で、決定されるよう、検証しなければならないことを示唆する。さもなければ、同様のライブラリ要素が、ある領域で設計どおりに実行され、他の領域で異なって実行されるリスクがあり、これは、そのような電気システムの検証を複雑にし、不要な複雑さを生む。
一方、特注レイアウト仕様は、対象領域を任意に選択し、レイアウト検証を簡略化する。これは、大きなブロックが、一般的に、そのような仕様で使用され、周囲のレイアウト内容は既知であり、さらなる仮定が不要であるため、可能である。
各プロセスの正確なモデルをもつことは、プロセステクノロジのさらなる向上の基盤を提供するが、マスク合成中のブランケットプロセス補正は、不適格な応答時間および広範な処理要求(つまり、数百のCPU)を生じる。しかし、そのような補正は、パターン移動中、最高の精度を達成する必要があるが、本枠組みは、プロセス変動に対するレイアウトの相対感度に基づく、最終レイアウト構成のロバスト性の向上を示唆することは明らかである。
どの領域が、シミュレーションおよび解析を行うのに適切であるかを設計チームに報告するという課題は、既存のレイアウトの階層構成により最小限に抑えることができる。これは、超波長技法にとって、セルが、配置−独立ビルディングブロックを維持することを意味する。
サブ波長技法において、配置−独立ブロックは、まだ達成される可能性があるが、数多くのデバイスを必要とする。言い換えれば、機能的なビルディングブロックは、プロセステクノロジとともに縮小するが、製造可能性を解析する必要がある実チップ領域は、ほぼ一定である。過去においては、露光システムを使用した波長は、レイアウトに存在する最小機能より、常に進んでいた(小さかった)ため、これには該当しなかった。
予測可能な今後として、主な解像度パラメータ(つまり、開口数および波長)は、ほぼ同じである。従って、セルは、もはや製造可能性および機能性の対象物ではなく、製造可能性解析に必要な領域は、パターン移動に関する既存のプロセス効果より算出される必要がある。
図21は、最終パターン移動プロセスを低下させる、化学金属研磨(CMP)、フレア、腐食および光近接の4つの異なるメカニズムの典型的な影響範囲を示す。これらの影響範囲のため、異なる方法が、影響を最小限に抑えるために採用される。CMPの場合、擬似金属充填が、パターン密度を均一化し、ウェハ全体の研磨速度を一定に保つために挿入される。
長範囲および短範囲フレアメカニズムは、ウェハの端露光、マスクブレードおよび露光システムの再設計により、最小限に抑えられる。腐食効果(優勢的にパターン−密度依存も)は、マスク合成中に小さい寸法加工により補正される。そして、最後に、光近接は、パターン低下の主な原因となり、近年、RETおよびOPC法により対処されている。
ブロックの境界(配置−依存領域)は、完全チップ可能ツールにより最端で算出される必要がある。境界の適切な管理により、配置−依存領域のみを再算出する一方、前の解析データを再利用することが可能である。階層構成の各段階で、大規模な配置−依存領域が、プロセスが平行して効果的に実行される、設計全体を作成し始めていることに留意することが重要である。これは、DFM解析中、障害に突き当たるリスクを最小限に抑える。しかし、レイアウト設計中に実行できる唯一の実情報が、ロバストである。RETまたは擬似充填によりなされる正確な補正は、上述の配置−依存効果のため、不可能である。
正確およびロバストな設計間の相違を強調するために、設計の正確さは、設計の完璧で最終的な物理的表現の提供によりのみ達成することができる。対照的に、設計のロバスト性は、階層設計構造に従って、最終的な物理的実装の部分的な情報でさえ達成することができる。言い換えれば、設計のロバスト性は、設計段階中、レイアウトの構造を修正することにより達成され、設計の正確さは、最終マスク製造前に補正されるべきであり、可能である。
2.3.4 シミュレーション必要条件
図22Aに示すように、DFMモデルの形成は、各プロセス段階中、エラーの主な系統的および定量的原因を含むべきである。プロセス開発グループは、一連のテクノロジ必要条件の最良のプロセスを選択するために、初期のDFMモデルを使用することができる。しかし、設計チームは、プロセスが部分的に選択(OPC/RET法、初期のプロセスウィンドウおよび重複幅)された後、特定のDFMモデルのみを使用するべきである。
これは、初期の物理的設計の予測可能な機会のみが、設計により、複数のプロセス候補であるという、DFMの望まない効果を強調する。これは、本発明の限界ではなく、むしろ、DFMコンセプトの限界である。製造プロセスが定義されないままであれば、製造可能性の設計をするのは、実質的に不可能である。
DFMモデルが利用可能と想定すると、そのようなモデルは、ある特定のプロセスマージンに関して、設計のあらゆる要素の変動を予想するべきである。本モデルが定義された後、図22Bに示すように、対応するテストベクトルと統合されると、従来のタイミング解析プロセスのデバイス認識を容易に組み入れることができる。
新しい計算プラットフォームは、深部のサブ波長領域でより適切な効果を対処するために提案された。正確だが、これらのアプローチは、膨大な応答時間のため、DFM解析に使用できない。従って、最初のステップは、正確でコンパクトなモデルが利用可能であることを検証するべきである。
CMPが(ミリメータの程度で)操作する範囲は、製造されるチップの全体的なサイズに
対して同時測定可能なので、セルおよびブロックレベルでの膨大なモデルの必要はない。唯一の推薦は、異なる研磨速度の影響を最小限に抑えるための密度−均一パターンを達成することである。このような密度パターンは、フレアおよび腐食制御の二つの効果はほぼ、パターン密度に依存しているため、これらの改善により非間接的に達成することもできる。
上述のように、モデルは、半経験的、特にレジストおよびエッチングモデルである。これらのモデルは、基本的に画像強度量に基づく。単一および複数プロセス条件モデル間の差は、この場合、単一プロセス条件モデルは、一プロセス条件でCDを予測することができるべきである。しかし、プロセスウィンドウモデルは、ある特定のプロセス変動に関するCD変化の割合を予測することができるべきである。言い換えれば、従来のモデルは、以下を必要とする。
Figure 2011059713

しかし、リソグラフィモデルを以下のように一般的に定義する。
Figure 2011059713

式中、Pは、モデルパラメータのいかなる数字(N)でよい。
リソグラフィプロセス認識モデルは、少なくとも二つのさらなる制約の対象ともなる。
Figure 2011059713

これらの制約は、モデルにさらなる制限を課すが、一致すると、プロセス変動予測の目的のために、モデルの適用性を保障する。
本発明は、リソグラフィ効果を説明するために、光ベクトルモデルおよび可変しきいレジストモデルの組み合わせを使用する。これらのモデルは、実験データ(図23に示すように)と比べると、実証レベルの正確さをもつ。これらのモデルとともに、特定のプロセス変動に対する設計感度を算出し、プロセス記録(図9)を完全に理解することにより、欠陥領域を検知することができる。
本発明の記述された本実施例は、リソグラフィモデルを強調し、使用したが、モデルの要求条件は、モデルの正確さが、計測学および基準およびプロセス範囲条件の許容できるモデルエラー内にとどまる限り、あらゆるタイプのプロセスが適用できる。「許容できるモデルエラー」とは、特定のテクノロジがプロセス統合および回路設計チームにより定義されていることを意味する。
一般的に、プロセス変動に対する既知のパターン移動反応の特徴がなければ、図24に示すように、プロセス条件の「密度グリッド」を算出する必要があるかもしれない。しかし、パターン移動プロセスの性質がより理解されることにより、より高機能なサンプルスキームに到達することが可能である。
図25は、CDがどのように焦点および露光に反応するかは、基準焦点および露光軸に沿って、ほぼ対称である。これらの対称は、評価されるプロセス条件の数を削減するために使用することができる。本例で、簡単なグリッドシュミレーションアプローチ(図24)は、25個の異なるシミュレーションを示唆する。CDがどのように焦点のずれおよび量に反応するかを理解することにより、ほぼ全ての中間ステップを除去し、CDの最大および最小変化を返す、五つのプロセス条件(図25、左)を考慮する。さらに、焦点のずれ軸に沿って対称のプロセスを使用することにより、さらに5から3(図25、右)へシミュレーションの数を削減することが可能である。本方法は、対称のいくつかのプロセスを使用することにより、必要なシミュレーションの合計数を減らすことが可能であることを示唆する。
このような技法は、同様の簡略化プロセスに従うことによりマスクバイアスなどの他のプロセス変動に広げることができる。マスクバイアスの場合、基準条件に関するオフセットを除いて、焦点および量の反応が類似するし得る。
一般的に、N焦点のずれ条件、M量条件およびLマスクバイアスと仮定する。あらゆるパターン移動性質を利用することなしに、NLシミュレーションおよびL数の層修正を要求する。
どのようにCDが、量、焦点のずれおよびバイアスにより影響されるかを理解するために、問題点は、基本的に、3つのシミュレーションと2つの層修正に削減される。
3.ロバストなパターン設計:テストケース
本方法論の初期のテストとして、PVIおよびDVIが、90nmプロセスの使用により、同等のレイアウトの130nmおよび90nm版に対して算出される。これは、より小型のCD設計は、大型のCD設計より、困難であるという測定法の定量化性質を検証するために行われる。単一層違反のサブセットのみが考慮される。
Figure 2011059713

式中、
pinchmin=45nm、bridgemin=45nm。
DVIを算出するために必要な支持領域は層である。プロセス変動は、量および焦点のずれリソグラフィ効果に制限される。量マージンは、+/−5%から+/−20%と異なり、焦点のずれの変動は、+/−50nmから+/−150nmの変化があった。ポリシリコン、薄酸化物、拡散、nおよびm埋込、金属1、コンタクトおよびnウェルが、算出されたが、n埋込、ポリシリコンおよびコンタクトの結果のみが、考察の目的で含まれる。
予想されたように、DVI(マーカー)およびPVI(線)は、低く、ほとんどプロセス変動の感度を示さない。逆に、コンタクトは、対照的な見解を表す。DVIは、低いままだが、現時点で、焦点および量のマージンにおけるわずかな感度を見ることが可能である。DVIは、レイアウトの部分領域に連結されるので、もっとも問題を生じる領域を改善する機会をもつ。対照的に、PVIは、焦点および量がレイアウトに課す感度、および130nmより、90nm接触における高感度を示す。DVI(図28に示すように)のこの突然の増加は、プロセスの限界に近い、レイアウトの特徴的反応である。しかし、プロセスマージンによるが、まったく、部分的または全てのコンタクトが機能せず、プロセス変動にロバストである位相を識別し、より感度なコンタクト配置のためにそれらを複製する機会を提供する。
図29は、ポリシリコン層のDVIおよびPVIを示す。コンタクトはオープンまたはクローズのいずれかのコンタクト層と違い、本層は、完全に機能しないわけではない。代わりに、製造可能性は、他はよく画像処理する一方、いくつかの領域はよく処理されないため、より持続的である。
機能にない領域を識別し、機能する領域と比較する方法は、修正が行われた後、製造可能性の改善を定量的に判断することを可能にする。この時点で、一つの層の修正を評価し、対象層の完全なDVIおよびPVIの算出により、どのように修正が他の層に影響を与えるかを判断することも可能である。これにより、製造可能性が一つの層で得られても、他でのマイナス効果は、定量化され、修正についてのより多くの情報に基づいた決定がなされる。
3.1 設計の最適化
以下の例は、設計および製造情報を統合し、小型のライブラリセルの製造可能性を改善するための提案された枠組みを使用する。図式およびレイアウトを図30に示す。
DRCクリーンセルは、自動小型ツールにより、基本的に得られる。異なるより製造可能な位相を探し求める一方、後続操作は、DRCクリーン条件を維持する。修正は、従来の複数層制約(ポリ接続パッドは、コンタクトおよび金属層で調整された)の対象である。完全な真理値表は、静的機能性のためにテストされる。動的機能性は、図31に示すように、真理値表テスト中、アウトプット信号(Y)変化の数に対応する13のイベントにより定義される。
二つの理由から、90nm可能製造プロセスが、130nmセルをシミュレートするために使用される。最初に、90nmプロセスは、130nm設計ルールの製造において問題がないため、設計の最適化法の感度をテストする。二つ目の理由は、完全なSPICEモデルが、欠如していることである。層の性質から、90nmプロセスの使用は、130nm基準構造より小型の構造サイズへのアクセスを提供する。
各プロセス条件のシミュレーションは、既存の寄生的およびSPICEモデルを使用する。タイミングをシミュレートするために、既存の90nmプロセスの使用により、130nmセルをモデルとする。これにより、SPICEモデル表は、プロセスを含む位相変化から、より小型の幾何学構造を補間することができる。
DVIおよびPVIの最適化により得られた一連の手動補正後、ほとんどの製造可能な等価セルは、図32に示される。本例のこれらの手動補正は、できるだけ規則的な配列へのコンタクトホール、できるだけ規則的なグリッドに近くなるポリ層および他の要素のゲートの作成、より対称的な位相をもつためのコンタクトの床着パッドの再構成、および可能なら、より大きな領域、などの要素の調整を含む。他の類似した操作は、最適化のための他の層またはセルに遭遇したとき、当業者にとって明らかであろう。
どのようにセル実装が位相面で異なるか(図30対図32)に留意する。最適化されたセルは、RDRにより提案されたように、より製造可能なグリッドのような側面を有する。基本および最適化されたセルの領域は同じで、両方とも、基準条件で設計されたように操作する。しかし、厳密な比較は、他のプロセス条件でのセルの特徴を伴う。
DVIおよびPVIは、+/−5、10、15および20%量制御および+/−50、100、150、200および250nm焦点のずれ制御で算出される。DVIおよびPVIの定義により、より小さい値が望ましい。これは、パターン移動変動が、適合性の領域に関して小さいことを示す。これは、レイアウト最適化問題をコスト−機能最小化問題に転換し、自動補正の可能性を導入する。自動補正方法は、既存のコンパクト法をPVバンドにより提供された有効性と組み合わせることにより、到達範囲内とされる。しかし、本発明において、補正方法は、レイアウト編集およびPVバンド算出環境を使用して手動で実行される。
図33Aは、大規模な焦点のずれ条件(+/−200および+/−250nm)およびより大きな量マージン(+/−15および+/−20%)で、どのように接触が大幅に機能しないか(つまり、隔離されたコンタクトは取り除かれず、密なコンタクトは併合される)を示すが、より厳しいプロセス制御でさえ、いくつかのエラーがあることも示す。対照的に図33Bは、大規模なプロセスマージンで、大規模な機能不能を示すが、その他のプロセス条件で、高いプロセス非感受性を示す。層の感受性を生じる主な問題の一つは、一層の製造可能性の改善が、他に不適切に影響を与える。
この懸念を評価するために、図34は、ポリシリコン層の結果、および改善は、劇的ではない(異なるDVIスケールに留意)が、本層に対してどのように改善がなされるかを示す。
nおよびp埋込、酸化および拡散層は、一定のままであった、よって、PVIおよびDVI間で差異はない。これらの層は、対象プロセスマージン内でいかなる欠陥ポイントも示さず、ポリ、コンタクトおよび金属1レベルに課されるあらゆる変化に対応するために修正する必要がないため、最適化されなかった。
図35は、90nmに直接縮小した、基本および最適化された130nmセルを示す。130nmで、コンタクトは、大規模なプロセスマージンでのみ、機能が停止し始めた。90nmでは、プロセス変動の感度は、より明らかである。70%の因数で縮小された、以前に算出された最適なコンタクト層は、同等の値で縮小された基本の対照物よりわずかに良くなっている。
このデータは、潜在的なDFMの副作用を強調する。適切な位相は、利用可能なプロセスグループに対して最大製造可能性を提供する解決方法をつくりだすことが難しい、特定のプロセステクノロジに結びつけることができる。
原則的に、本制限は、一つの代わりに結合された複数のプロセスを考慮することにより、位置づけることができる。これは、もちろん、数値計算の必要条件に付加されるが、実施可能である。弱点は、特定のプロセスに目標を立てる解決方法と違い、本解決方法は、最終プロセスの最適以下であり得ることである。このことは、製造可能性指数の利用可能性が、ある特定のプロセスにおいて、設計がどのくらい製造可能であるかの定量的測定により、設計トレードオフをガイドすることを助ける場合である。
3.2 パターンロバスト性解析:限界寸法安定性
パターンロバスト性は、基本的に、ある特定の構造をサンプルとした、焦点−暴露データにより評価される。図36は、基本(org)および最適化(opt)されたセルのゲート長に影響する構造変化を示す。
最低のプロセスマージン(+/−250nm焦点のずれおよび+/−20%量変化)下で、基本のセルの総CD範囲は、約63nmであり、最適化セルの範囲は、53nmである。これは、どのようなリソグラフィが、プロセス変動による特徴の挙動を基本的に報告する。
このタイプの解析の問題点は、全ての構造が同じような挙動を示すわけではないことである。よりロバストに作成される領域があるが、他の領域は変動プロセスに非常に敏感である。これらの構造変動がどれくらいセルの電気性質に影響するかは、以下のセクションで取り扱う研究の重要な主題である。
3.3 電気解析:時間プロセスウィンドウ
領域の製造可能性指数が最適化された後、電気インパクトは、製造可能性マージンが適切かどうか判断するための機能(時間)情報に相互関係する。実験的に調整されたプロセスウィンドウモデルの使用により、時間プロセスウィンドウの効果は、一つが時間要素でもう一つが非時間要素である、二つの異なるデバイスのために再計算される。この場合、ポリシリコン層のみが、本方法を示すために変動するが、原則的に、これは、あらゆる数の層において実行される。そして、本制限は、電気変動を正確に特徴づけるために必要な計算力量になる。この理由から、プロセス変動に敏感でない設計、少なくとも、同等に敏感である設計を作成することが好まれる。よって、本電気解析は、従来の方法で実行される。
よりロバストなパターンがパラメータの動作に提供する、設計の改善を評価するために、図37で示すフローが、EDOCEO(ラテン語からの訳。EDOCEOは「徹底的に支持する、完全に通告する」という意味)と呼ばれるプログラムに導入される。
主な要素のプログラムの組織を、図38に示す。本プログラムは、tcl/tkスクリプト言語を使用するメンターグラフィックからのインターフェースの既存のEDAツールを含む。使用されたツールは、シリコン画像および新規電気システムの算出にCalibre(R)、DRC、LVS、XRC、およびRET、時間シミュレーションの算出にEL
DOである。解析および再フォーマットは、tcl/tkプログラムの向上により達成される。
そのようなプログラムが作成できる結果の例として、図39および図40が、130nmポリシリコン層に適用された、異なるプロセスウィンドウ条件の二つの時間テストベクトルに対応する。ポリシリコン層のみが、解析中、置き換えられる。よって、他の層の「不完全さ」からの時間への貢献は、ゼロと想定される。
これらの図が示すように、OPCの使用は、サブ波長プロセスにおいて特に推奨される。その理由から、本プロセスの特徴ステップは、独自の感度確認を導入するので、使用されるRETの性質を含むべきである。
従来、製造施設は、nおよびpトランジスタの高速(F)および低速(S)を意味する、TT(基準)、FF、FS、SFおよびSSの五つのSPICEタイプモデルを提供する。それらの調整の性質により、SPICEモデルは、リソグラフィを含む、プロセスコーナーの統計的解析から全てのプロセス効果を組み込む。
複数の効果の包有は、個々の寄与の解析を複雑にする。しかし、利用可能な全電気モデルの一製造効果の明示的な算出により、製造効果への設計の原感度を判断することは可能である。簡略化のため、本解析は、全層が、製図されたように完璧に組み立てられ、ポリシリコン層のみが、実シリコン画像により置き換えられる。
これは、リソグラフィが、デバイスの電気的性能におけるインパクトの保守的な概算値である。シミュレーションは、完全なプロセス動作に対するリソグラフィの相対的な貢献を評価するために、五つ全ての利用可能なSPICEを使用することにより行われる。
非基準モデル(FF、SS、SFおよびFS)モデルにより確立された時間マージンは、基準条件(TT)でポリシリコンプロセス変動を包囲することができるが、プロセスに由来するパターン変動が、全設計マージンに非常に貢献することは明らかである。
図41(基本のセル)および図42(最適なセル)は、どのようにセルが、広範なプロセス条件下で機能し続けるのかを示す。セルが操作を停止すると、データのシリーズは打ち切られ、よって、致命的なエラーが生じたことを示す。
さらに、図43は、様々なプロセス条件下のイベント3およびRET処置を示す。RETは、セルの最適化中に考慮されるので、最適なセルがほぼ全てのテストプロセス条件下で最小の遅延感度を示すことは驚くことではない。
同様の解析が、RETありおよびなしで実行される。これらの三つの場合の非常に異なる動作は、レイアウトの電気動作を正確に予測するために、電気シミュレーション中、特に、操作のマージンを判断しようとするとき、RETおよびプロセス情報を組み込む必要があることを示唆する。
これらの図は、提案された枠組みの重要な側面を示す。プロセス制御が改善されると、よりロバストな設計の必要性が減少する。反対に、(より小さいサブ波長プロセスによる可能性があるように)プロセスマージンが目標の幾何学形状に関して悪化すると、一定で規格化された形式での設計の感度を認定する必要は増加する。これらのプロットは、既存の電気モデルのより完全な形式であり、これらのパターン移動感度を効果的に説明する必要性も示唆する。
特に、そのような時間解析は過剰であり、設計を改善するための明らかなガイダンスを提供しない。しかし、このタイプの洗練された解析の使用は、異なる製造のための設計技法が提供する利点を特徴づけるために役立つ。さらに、図43が示唆するように、提案された枠組みは、パラメータ反応に直接的で有利な影響を及ぼし、システムのさらなる電気シミュレーションを必要としない。
4.結論
パターン移動の製造のための設計の枠組みが開示された。
さらに、パターンロバスト性およびパラメータ動作間に明らかな関連がある。本関連は、電気的およびパターン依存である統一された製造可能性の枠組みの可能性を示す。
本枠組みは、レイアウト設計チームが製造プロセスの全詳細において優れている必要がないことを可能にする。モデルが使用されたプロセスを包囲するため、設計者がプロセスデータと相互作用するアウトプットおよび方法は、プロセス自体の独立である。
その独特な性質のため、本枠組みは、プロセスモデルが利用可能になる、RETを認識する、CMPを認識する、またはあらゆる他のプロセスを認識する設計を自動的にもたらす。
本発明の好ましい実施例が、示され、説明されたが、多様な変更が、本発明の精神および範囲から逸脱することなく行われることが理解される。例えば、説明した本発明の実施例は、PVバンドの内端および外端の双方を算出するが、PVバンドの外側のみを算出する検証が所望される場合がある。設計ルールは、もし最も大きいサイズで印刷されると、対象物がどこに間違いを作成するかを示すためのPVバンドを解析することができる。
独占的所有物または権限が請求される本発明の実施例は、以下のとおり、定義される。

Claims (21)

  1. 所望の回路機能を実行するために、レイアウトの回路対象物の配置を定義する回路設計ツールと、フォトリソグラフィプロセスの変動の結果により、対象物がウェハ上に印刷されるように可能な変動を判断する検証ツールと、前記回路設計ツールを使用して、修正されるよう特定された一つ以上のルール違反を判断するために、対象物の可能な変動を一つ以上の設計ルールと比較するルールチェッカーとを備える、フォトリソグラフィプロセスによりウェハ上に作成される回路設計を設計および検証するためのシステム。
  2. 前記検証ツールが、変動をシミュレーションするプロセスモデルを使用することにより、可能な変動を判断する、請求項1に記載のシステム。
  3. フォトリソグラフィプロセスでウェハ上に作成される複数の対象物を定義する集積回路レイアウトを検証する方法であって、前記集積回路レイアウトの全てまたは一部を受け取るステップと、対象物が、ある特定のフォトリソグラフィプロセス条件下でウェハ上に印刷される最大領域を判定するステップと、前記集積回路設計を検証するために、一つ以上の設計ルールにより対象物の最大サイズを解析するステップと、を含む方法。
  4. 対象物が、全プロセス条件下でウェハ上に印刷される最小サイズを判定し、集積回路設計を検証するために、一つ以上の設計ルールにより対象物の最小サイズを解析するステップをさらに含む、請求項3に記載の方法。
  5. 各対象物に対して、PVバンドが、あるプロセス条件下で対象物が印刷される最大サイズに対応する外端と、全プロセス条件下で対象物が印刷される最小サイズに対応する内端と、をもつ定義するステップをさらに含む、請求項4に記載の方法。
  6. ウェハ上に作成される一つ以上の対象物の対象領域の判定するステップと、対象物がウェハ上に正確に作成されるか検証するために、対象物のPVバンドを、定義された対象領域と比較するステップと、をさらに含む、請求項5に記載の方法。
  7. コンピュータにより実行される時、請求項3に記載の方法を、コンピュータに実施させる複数の指示を含むコンピュータ可読媒体。
  8. 集積回路レイアウトが製造可能であることを検証する方法であって、レイアウトが「LFDクリーン」である時を特定する一連の規準を明示するステップと、少なくとも、一部の集積回路レイアウトを読取るステップと、二つ以上のシミュレーションプロセス条件下でのレイアウトを評価するステップと、評価結果をレイアウトが「LFDクリーン」であるかを判定するために、評価の結果を明示された規準と比較するステップと、を含む方法。
  9. 少なくとも二つ以上の集積回路レイアウトの一部を読取るステップと、前記二つ以上のシミュレーションプロセス条件下で、前記二つ以上の集積回路レイアウトを評価するステップと、前記規準による集積回路レイアウトまたはその一部をランクづけし、前記結果を明示された規準と比較するステップと、ユーザへのランクづけを表示するステップと、をさらに含む、請求項8に記載の方法。
  10. 前記レイアウトまたはその一部のランクづけが、設計変動指数(DVI)を使用する、請求項9に記載の方法。
  11. 前記レイアウトまたはその一部のランクづけが、プロセス変動指数(PVI)を使用する、請求項10に記載の方法。
  12. DVIまたはPVIが、少なくとも二つの製造可能な対象物からの情報を使用して計算され、それぞれがレイアウトの異なる物理層に対応する、請求項11に記載の方法。
  13. 製造可能な対象物の生成、前記対象物に対する操作の実行、および対象物間または対象物とオペレータ間の関係についてのガイドラインの使用を含む、集積レイアウトの少なくとも一部を読取る動作を実行するために、コンピュータにより実行される、媒体に保管された複数の指示をもつ、コンピュータ可読媒体。
  14. 前記対象物が、PVバンドを含む、請求項13に記載の媒体。
  15. 前記対象物が、明示されたプロセスモデルを使用して計算された、請求項13に記載の媒体。
  16. 前記操作が、ブール演算を含む、請求項13に記載の媒体。
  17. 前記操作が、遠隔操作を含む、請求項13に記載の媒体。
  18. 前記操作が、領域操作を含む、請求項13に記載の媒体。
  19. 前記ガイドラインが、設計ルールを含む、請求項13に記載の媒体。
  20. 前記ガイドラインが、製造可能ルールを含む、請求項13に記載の媒体。
  21. 集積回路レイアウトの検証方法であって、複数のプロセス条件下での製造中、特定の回路層に生じやすい端配置の変動表示を生成するステップと、これらの変動において製造された回路に関連する電気性質を摘出するステップと、これらの変動において製造された回路の電気的タイミングを評価するステップと、を含む、方法。
JP2010261858A 2004-05-07 2010-11-24 プロセス変動バンドを用いた集積回路レイアウト設計法 Active JP5706675B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US56884904P 2004-05-07 2004-05-07
US60/568,849 2004-05-07
US65583705P 2005-02-23 2005-02-23
US60/655,837 2005-02-23

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007511692A Division JP2007536581A (ja) 2004-05-07 2005-05-06 プロセス変動バンドを用いた集積回路レイアウト設計法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015000081A Division JP6069369B2 (ja) 2004-05-07 2015-01-05 プロセス変動バンドを用いた集積回路レイアウト設計法

Publications (2)

Publication Number Publication Date
JP2011059713A true JP2011059713A (ja) 2011-03-24
JP5706675B2 JP5706675B2 (ja) 2015-04-22

Family

ID=35385625

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2007511692A Pending JP2007536581A (ja) 2004-05-07 2005-05-06 プロセス変動バンドを用いた集積回路レイアウト設計法
JP2010261858A Active JP5706675B2 (ja) 2004-05-07 2010-11-24 プロセス変動バンドを用いた集積回路レイアウト設計法
JP2015000081A Active JP6069369B2 (ja) 2004-05-07 2015-01-05 プロセス変動バンドを用いた集積回路レイアウト設計法
JP2016147134A Active JP6377106B2 (ja) 2004-05-07 2016-07-27 プロセス変動バンドを用いた集積回路レイアウト設計法
JP2018011369A Pending JP2018106728A (ja) 2004-05-07 2018-01-26 プロセス変動バンドを用いた集積回路レイアウト設計法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2007511692A Pending JP2007536581A (ja) 2004-05-07 2005-05-06 プロセス変動バンドを用いた集積回路レイアウト設計法

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2015000081A Active JP6069369B2 (ja) 2004-05-07 2015-01-05 プロセス変動バンドを用いた集積回路レイアウト設計法
JP2016147134A Active JP6377106B2 (ja) 2004-05-07 2016-07-27 プロセス変動バンドを用いた集積回路レイアウト設計法
JP2018011369A Pending JP2018106728A (ja) 2004-05-07 2018-01-26 プロセス変動バンドを用いた集積回路レイアウト設計法

Country Status (5)

Country Link
US (4) US8799830B2 (ja)
EP (1) EP1747520B1 (ja)
JP (5) JP2007536581A (ja)
TW (1) TW200604870A (ja)
WO (1) WO2005111874A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010026076A (ja) * 2008-07-16 2010-02-04 Renesas Technology Corp リソグラフィシミュレーション装置、ならびにリソグラフィシミュレーションプログラムおよびそれを使用した半導体装置設計製造方法

Families Citing this family (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US6931613B2 (en) 2002-06-24 2005-08-16 Thomas H. Kauth Hierarchical feature extraction for electrical interaction calculations
WO2004034463A1 (ja) * 2002-10-10 2004-04-22 Fujitsu Limited レイアウト方法及び装置並びにそのプログラム及び記録媒体
EP1467294A3 (en) * 2003-04-04 2005-06-01 Interuniversitair Microelektronica Centrum Vzw Design method for electronic systems using library of hardware components with performance parameters and cost functions
US7124394B1 (en) * 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7698665B2 (en) 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US8799830B2 (en) 2004-05-07 2014-08-05 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7240305B2 (en) * 2004-06-02 2007-07-03 Lippincott George P OPC conflict identification and edge priority system
US7689951B2 (en) * 2004-08-31 2010-03-30 Freescale Semiconductor, Inc. Design rule checking system and method, for checking compliance of an integrated circuit design with a plurality of design rules
US7302651B2 (en) * 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
US7269818B2 (en) * 2005-01-06 2007-09-11 International Business Machines Corporation Circuit element function matching despite auto-generated dummy shapes
US7617473B2 (en) * 2005-01-21 2009-11-10 International Business Machines Corporation Differential alternating phase shift mask optimization
US20060242618A1 (en) * 2005-02-14 2006-10-26 Yao-Ting Wang Lithographic simulations using graphical processing units
US8037429B2 (en) * 2005-03-02 2011-10-11 Mentor Graphics Corporation Model-based SRAF insertion
US20060199087A1 (en) * 2005-03-03 2006-09-07 Lucas Kevin D Method of making an integrated circuit by modifying a design layout by accounting for a parameter that varies based on a location within an exposure field
US7395516B2 (en) * 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
US7712064B2 (en) * 2005-05-20 2010-05-04 Cadence Design Systems, Inc. Manufacturing aware design of integrated circuit layouts
US7458058B2 (en) * 2005-06-10 2008-11-25 Texas Instruments Incorporated Verifying a process margin of a mask pattern using intermediate stage models
US7797668B2 (en) * 2005-06-30 2010-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for optimally converting a circuit design into a semiconductor device
US8219940B2 (en) * 2005-07-06 2012-07-10 Semiconductor Insights Inc. Method and apparatus for removing dummy features from a data structure
DE102005036207A1 (de) * 2005-08-02 2007-02-22 X-Fab Semiconductor Foundries Ag Verfahren zum Entwurf von integrierten Schaltungen
US7568174B2 (en) * 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
WO2007041602A2 (en) * 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Lithography verification using guard bands
WO2007041600A2 (en) 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Mask-pattern determination using topology types
WO2007041701A2 (en) 2005-10-04 2007-04-12 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
WO2007044557A2 (en) 2005-10-06 2007-04-19 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US7266798B2 (en) * 2005-10-12 2007-09-04 International Business Machines Corporation Designer's intent tolerance bands for proximity correction and checking
US7673260B2 (en) * 2005-10-24 2010-03-02 Cadence Design Systems, Inc. Modeling device variations in integrated circuit design
US7503028B2 (en) * 2006-01-10 2009-03-10 International Business Machines Corporation Multilayer OPC for design aware manufacturing
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7640522B2 (en) * 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
KR100706813B1 (ko) * 2006-02-13 2007-04-12 삼성전자주식회사 반도체 장치의 패턴 배치 방법
WO2007100558A2 (en) * 2006-02-17 2007-09-07 Mentor Graphics Corporation Modeling for semiconductor fabrication process effects
US7712068B2 (en) 2006-02-17 2010-05-04 Zhuoxiang Ren Computation of electrical properties of an IC layout
US8015510B2 (en) * 2006-02-17 2011-09-06 Mentor Graphics Corporation Interconnection modeling for semiconductor fabrication process effects
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8245180B2 (en) * 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8300798B1 (en) 2006-04-03 2012-10-30 Wai Wu Intelligent communication routing system and method
US7487476B2 (en) * 2006-04-11 2009-02-03 International Business Machines Corporation Method for computing the sensitivity of a VLSI design to both random and systematic defects using a critical area analysis tool
US8136067B2 (en) * 2006-05-15 2012-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of design for manufacturing
US7754400B2 (en) 2006-05-26 2010-07-13 Sony Corporation Method for determining an acceptable reticle tolerance for a reticle used to produce an integrated circuit layout
US7503020B2 (en) * 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US8448096B1 (en) * 2006-06-30 2013-05-21 Cadence Design Systems, Inc. Method and system for parallel processing of IC design layouts
US7493590B1 (en) * 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
JP4256408B2 (ja) * 2006-07-20 2009-04-22 株式会社東芝 不良確率の算出方法、パターン作成方法及び半導体装置の製造方法
JP4762827B2 (ja) * 2006-08-22 2011-08-31 富士フイルム株式会社 電子アルバム生成装置、電子アルバム生成方法、および、そのプログラム
WO2008045900A1 (en) 2006-10-09 2008-04-17 Mentor Graphics Corporation Properties in electronic design automation
US7770142B1 (en) * 2006-10-30 2010-08-03 Cadence Design Systems, Inc. Modeling power management for an integrated circuit
US8056022B2 (en) * 2006-11-09 2011-11-08 Mentor Graphics Corporation Analysis optimizer
US7966585B2 (en) * 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
US20090281778A1 (en) * 2006-12-21 2009-11-12 Nxp, B.V. Method and system for identifying weak points in an integrated circuit design
US7827519B2 (en) 2006-12-29 2010-11-02 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
US7962866B2 (en) 2006-12-29 2011-06-14 Cadence Design Systems, Inc. Method, system, and computer program product for determining three-dimensional feature characteristics in electronic designs
US7721237B2 (en) * 2006-12-29 2010-05-18 Cadence Design Systems, Inc. Method, system, and computer program product for timing closure in electronic designs
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
WO2008081227A1 (en) * 2007-01-05 2008-07-10 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit
US7802226B2 (en) * 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
US7739650B2 (en) 2007-02-09 2010-06-15 Juan Andres Torres Robles Pre-bias optical proximity correction
US7799487B2 (en) 2007-02-09 2010-09-21 Ayman Yehia Hamouda Dual metric OPC
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US7707528B1 (en) * 2007-02-24 2010-04-27 Cadence Design Systems, Inc. System and method for performing verification based upon both rules and models
US7689948B1 (en) 2007-02-24 2010-03-30 Cadence Design Systems, Inc. System and method for model-based scoring and yield prediction
US7725845B1 (en) 2007-02-24 2010-05-25 Cadence Design Systems, Inc. System and method for layout optimization using model-based verification
US7574682B2 (en) 2007-02-28 2009-08-11 Freescale Semiconductor, Inc. Yield analysis and improvement using electrical sensitivity extraction
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
JP2008258361A (ja) * 2007-04-04 2008-10-23 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CN101720474A (zh) * 2007-05-23 2010-06-02 Nxp股份有限公司 工艺窗发觉检测以及掩模层处光刻印刷问题的校正
US8713483B2 (en) 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks
WO2009003139A1 (en) * 2007-06-27 2008-12-31 Cadence Design Systems, Inc. Robust design using manufacturability models
US8645896B1 (en) * 2007-06-28 2014-02-04 Dcg Systems Inc Method to transfer failure analysis-specific data between design houses and fab's/FA labs
US7647569B2 (en) * 2007-08-01 2010-01-12 Micron Technology, Inc. Systems, methods, and computer-readable media for adjusting layout database hierarchies for more efficient database processing and storage
US7805699B2 (en) * 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7966586B2 (en) * 2007-12-07 2011-06-21 Cadence Design Systems, Inc. Intelligent pattern signature based on lithography effects
US7904853B1 (en) 2007-12-27 2011-03-08 Cadence Design Systems, Inc. Pattern signature
US8358828B2 (en) * 2007-12-28 2013-01-22 Cadence Design Systems, Inc. Interpolation of irregular data in a finite-dimensional metric space in lithographic simulation
US8296691B2 (en) * 2008-01-08 2012-10-23 International Business Machines Corporation Methodology for improving device performance prediction from effects of active area corner rounding
US7979815B2 (en) * 2008-01-08 2011-07-12 International Business Machines Corporation Compact model methodology for PC landing pad lithographic rounding impact on device performance
US7984395B2 (en) * 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US20090187867A1 (en) * 2008-01-22 2009-07-23 Lawrence Jay A Techniques for Verifying Error Detection of a Design Rule Checking Runset
US7861195B2 (en) * 2008-01-30 2010-12-28 Advanced Mirco Devices, Inc. Process for design of semiconductor circuits
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
US8060843B2 (en) * 2008-06-18 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Verification of 3D integrated circuits
US7761178B2 (en) * 2008-06-18 2010-07-20 Tokyo Electron Limited Automated process control using an optical metrology system optimized with design goals
EP2321748B1 (en) 2008-07-16 2017-10-04 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US7992114B1 (en) * 2008-08-19 2011-08-02 Magma Design Automation, Inc. Timing analysis using statistical on-chip variation
US8122399B2 (en) 2008-08-28 2012-02-21 International Business Machines Corporation Compiler for closed-loop 1×N VLSI design
US8132134B2 (en) 2008-08-28 2012-03-06 International Business Machines Corporation Closed-loop 1×N VLSI design system
US8136062B2 (en) 2008-08-28 2012-03-13 International Business Machines Corporation Hierarchy reassembler for 1×N VLSI design
US7966598B2 (en) * 2008-08-29 2011-06-21 International Business Machines Corporation Top level hierarchy wiring via 1×N compiler
US8156458B2 (en) * 2008-08-29 2012-04-10 International Business Machines Corporation Uniquification and parent-child constructs for 1xN VLSI design
US8141016B2 (en) * 2008-08-29 2012-03-20 International Business Machines Corporation Integrated design for manufacturing for 1×N VLSI design
US8146023B1 (en) * 2008-10-02 2012-03-27 Kla-Tenor Corporation Integrated circuit fabrication process convergence
US8051401B2 (en) * 2008-10-15 2011-11-01 Arm Limited Post-routing power supply modification for an integrated circuit
US20100107130A1 (en) * 2008-10-23 2010-04-29 International Business Machines Corporation 1xn block builder for 1xn vlsi design
US20100142838A1 (en) * 2008-12-05 2010-06-10 Micronic Laser Systems Ab Gradient assisted image resampling in micro-lithographic printing
JP2010160787A (ja) * 2008-12-11 2010-07-22 Jedat Inc パラメータ情報作成システム、歩留まり算出システム、プログラム及び記録媒体
US8032848B2 (en) * 2009-01-29 2011-10-04 Synopsys, Inc. Performing abstraction-refinement using a lower-bound-distance to verify the functionality of a circuit design
US20110145772A1 (en) * 2009-05-14 2011-06-16 Pikus Fedor G Modular Platform For Integrated Circuit Design Analysis And Verification
JP5293488B2 (ja) * 2009-08-05 2013-09-18 富士通セミコンダクター株式会社 設計支援プログラム、設計支援装置、および設計支援方法
KR101710862B1 (ko) * 2009-08-05 2017-02-28 씬 필름 일렉트로닉스 에이에스에이 인쇄된 전자장치를 위한 인쇄-적합 디자인 및 레이아웃
US8367430B2 (en) * 2009-10-07 2013-02-05 GlobalFoundries, Inc. Shape characterization with elliptic fourier descriptor for contact or any closed structures on the chip
US8698321B2 (en) 2009-10-07 2014-04-15 Qualcomm Incorporated Vertically stackable dies having chip identifier structures
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8448110B2 (en) * 2009-11-24 2013-05-21 International Business Machines Corporation Method to reduce delay variation by sensitivity cancellation
TWI464433B (zh) * 2009-11-25 2014-12-11 Hon Hai Prec Ind Co Ltd 印刷電路板信號線分類排查系統及方法
US9411647B2 (en) 2010-01-22 2016-08-09 Qualcomm Incorporated Hierarchical routing and interface selection for multi-processor multimode network devices
US8797721B2 (en) 2010-02-02 2014-08-05 Apple Inc. Portable electronic device housing with outer glass surfaces
US20120011480A1 (en) * 2010-05-25 2012-01-12 Sridhar Srinivasan Logic-Driven Layout Verification
US8594963B2 (en) * 2010-09-01 2013-11-26 Macronix International Co., Ltd. In-line inspection yield prediction system
US8438526B2 (en) * 2010-09-23 2013-05-07 Texas Instruments Incorporated Method for minimizing transistor and analog component variation in CMOS processes through design rule restrictions
US8381141B2 (en) * 2010-10-28 2013-02-19 International Business Machines Corporation Method and system for comparing lithographic processing conditions and or data preparation processes
US20120110531A1 (en) * 2010-10-28 2012-05-03 Qualcomm Incorporated Defect and yield prediction for segments of an integrated circuit
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8448097B2 (en) * 2010-12-03 2013-05-21 Synopsys, Inc. High performance DRC checking algorithm for derived layer based rules
US8352887B2 (en) 2010-12-03 2013-01-08 Synopsys, Inc. High performance design rule checking technique
US8677297B2 (en) 2010-12-03 2014-03-18 Synopsys, Inc. Low-overhead multi-patterning design rule check
US8453103B2 (en) 2010-12-03 2013-05-28 Synopsys, Inc. Real time DRC assistance for manual layout editing
US8448120B2 (en) * 2011-05-09 2013-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. RC extraction for single patterning spacer technique
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US8589844B2 (en) * 2012-02-09 2013-11-19 GlobalFoundries, Inc. Methods for analyzing design rules
US8806391B2 (en) 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US9158883B2 (en) 2012-08-08 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. System for designing a semiconductor device, device made, and method of using the system
US8584066B1 (en) * 2012-09-04 2013-11-12 GlobalFoundries, Inc. System and method for generating a wire model
US8739076B2 (en) * 2012-09-11 2014-05-27 Synopsys, Inc. Method and apparatus for process window modeling
US9330223B2 (en) 2012-09-28 2016-05-03 International Business Machines Corporation Optical rule checking for detecting at risk structures for overlay issues
US9171112B2 (en) 2012-12-07 2015-10-27 Synopsys, Inc. Semiconductor hold time fixing
US8975195B2 (en) * 2013-02-01 2015-03-10 GlobalFoundries, Inc. Methods for optical proximity correction in the design and fabrication of integrated circuits
US9122160B2 (en) * 2013-03-15 2015-09-01 Globalfoundries Singapore Pte. Ltd. Method and apparatus for performing optical proximity and photomask correction
US9081919B2 (en) * 2013-03-15 2015-07-14 Globalfoundries Singapore Pte. Ltd. Design-for-manufacturing—design-enabled-manufacturing (DFM-DEM) proactive integrated manufacturing flow
WO2014204577A1 (en) * 2013-06-21 2014-12-24 California Institute Of Technology Determination of electronic circuit robustness
US9064078B2 (en) * 2013-07-30 2015-06-23 Globalfoundries Inc. Methods and systems for designing and manufacturing optical lithography masks
US9697554B2 (en) 2013-10-17 2017-07-04 Plethora Corporation Method for quoting part production
EP3058496A4 (en) * 2013-10-17 2017-07-12 Plethora Corporation Method for implementing design-for-manufacturability checks
US9286431B2 (en) 2013-10-31 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Oxide definition (OD) gradient reduced semiconductor device and method of making
KR102175464B1 (ko) 2014-04-08 2020-11-06 삼성전자주식회사 반도체 집적 회로
US10185799B2 (en) 2014-04-22 2019-01-22 Mentor Graphics Corporation Verification of photonic integrated circuits
US9311442B2 (en) * 2014-04-25 2016-04-12 Globalfoundries Inc. Net-voltage-aware optical proximity correction (OPC)
US9311443B2 (en) * 2014-06-17 2016-04-12 Globalfoundries Inc. Correcting for stress induced pattern shifts in semiconductor manufacturing
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
US10444734B2 (en) 2014-08-22 2019-10-15 Mentor Graphics Corporation Manufacture of non-rectilinear features
US9740092B2 (en) * 2014-08-25 2017-08-22 Globalfoundries Inc. Model-based generation of dummy features
US10452793B2 (en) * 2014-08-26 2019-10-22 International Business Machines Corporation Multi-dimension variable predictive modeling for analysis acceleration
US10387596B2 (en) 2014-08-26 2019-08-20 International Business Machines Corporation Multi-dimension variable predictive modeling for yield analysis acceleration
US10331039B2 (en) 2014-10-02 2019-06-25 Asml Netherlands B.V. Rule-based deployment of assist features
US9659123B2 (en) 2014-10-17 2017-05-23 21, Inc. Systems and methods for flexibly optimizing processing circuit efficiency
US9594867B2 (en) * 2014-10-30 2017-03-14 Synopsys, Inc. DRC-based hotspot detection considering edge tolerance and incomplete specification
US9466604B2 (en) * 2014-11-13 2016-10-11 Globalfoundries Inc. Metal segments as landing pads and local interconnects in an IC device
US9378315B1 (en) * 2014-12-11 2016-06-28 Excelio Technology (Shenzhen) Co., Ltd. Method for semiconductor process corner sweep simulation based on value selection function
KR20160078032A (ko) * 2014-12-24 2016-07-04 삼성전자주식회사 전자 설계 자동화를 위한 장치 및 방법
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
US9721054B2 (en) * 2015-12-11 2017-08-01 International Business Machines Corporation Building a corner model of interconnect wire resistance
US10083272B2 (en) * 2016-08-12 2018-09-25 International Business Machines Corporation Integrated circuit design layout optimizer based on process variation and failure mechanism
CN106611088B (zh) * 2016-12-28 2019-10-08 北京华大九天软件有限公司 一种库单元时延功耗状态完整性的检查方法
CN115220311A (zh) 2017-05-05 2022-10-21 Asml荷兰有限公司 用于预测器件制造工艺的良率的方法
US10402524B2 (en) * 2017-05-08 2019-09-03 Globalfoundries Inc. Prediction of process-sensitive geometries with machine learning
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
US10628544B2 (en) 2017-09-25 2020-04-21 International Business Machines Corporation Optimizing integrated circuit designs based on interactions between multiple integration design rules
US10592814B2 (en) 2017-12-01 2020-03-17 International Business Machines Corporation Automatic design flow from schematic to layout for superconducting multi-qubit systems
US10599805B2 (en) 2017-12-01 2020-03-24 International Business Machines Corporation Superconducting quantum circuits layout design verification
WO2019152366A1 (en) * 2018-01-31 2019-08-08 Coventor, Inc. System and method for 2d to 3d compact model creation for a mems device
US10621295B2 (en) 2018-04-10 2020-04-14 International Business Machines Corporation Incorporation of process variation contours in design rule and risk estimation aspects of design for manufacturability to increase fabrication yield
US10714366B2 (en) 2018-04-12 2020-07-14 Kla-Tencor Corp. Shape metric based scoring of wafer locations
CN108829999B (zh) * 2018-06-26 2022-03-01 重庆科技学院 一种强非均质性致密储层水平井分段压裂布缝优化方法
US11188687B2 (en) * 2018-11-09 2021-11-30 The Boeing Company Rule compliance checking and design generation with rule access security
KR102596609B1 (ko) 2018-11-16 2023-10-31 삼성전자주식회사 반도체 장치의 제조 방법 및 레이아웃 디자인 시스템
US11580289B2 (en) * 2018-11-30 2023-02-14 Asml Netherlands B.V. Method for determining patterning device pattern based on manufacturability
CN110069810B (zh) * 2019-03-11 2023-04-07 北京百度网讯科技有限公司 电池故障预测方法、装置、设备和可读存储介质
TWI739101B (zh) * 2019-05-07 2021-09-11 瑞昱半導體股份有限公司 Ic設計資料庫產生方法以及ic設計方法
US10908598B1 (en) * 2019-05-30 2021-02-02 Xilinx, Inc. Integrated circuits designed for multiple sets of criteria
US10796069B1 (en) 2019-06-06 2020-10-06 International Business Machines Corporation Bump connection placement in quantum devices in a flip chip configuration
EP4059045A4 (en) 2019-11-15 2023-07-26 Applied Materials, Inc. PRESERVATION OF HIERARCHICAL STRUCTURAL INFORMATION IN A DESIGN FILE
KR20210069161A (ko) 2019-12-02 2021-06-11 삼성전자주식회사 Euv 레티클 제조 방법 및 그를 포함하는 반도체 소자의 제조 방법
US11574103B2 (en) * 2020-01-31 2023-02-07 International Business Machines Corporation Addressing layout retargeting shortfalls
JP2021166271A (ja) * 2020-04-08 2021-10-14 日本コントロールシステム株式会社 マスク情報調整装置、マスクデータ調整方法、プログラム
TW202211074A (zh) * 2020-04-24 2022-03-16 美商科文特股份有限公司 用於在虛擬工廠環境中執行局部cdu建模和控制的系統及方法
US11657207B2 (en) * 2020-07-28 2023-05-23 Synopsys, Inc. Wafer sensitivity determination and communication
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
EP4001902A1 (en) * 2020-11-23 2022-05-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method and system for simulating an optical image of a photonic and/or electronic device
CN112507648B (zh) * 2020-11-30 2022-01-04 深圳比特微电子科技有限公司 版图设计的方法和集成电路、运算芯片和计算设备
WO2022187089A1 (en) * 2021-03-03 2022-09-09 Rambus Inc. Module authentication
CN116819906B (zh) * 2023-08-25 2023-11-28 深圳国微福芯技术有限公司 设计规则检查方法、光学临近修正方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07175204A (ja) * 1993-11-08 1995-07-14 Sony Corp フォトマスク、フォトマスクの製造方法、露光方法及び半導体装置の製造方法
JP2001350250A (ja) * 2000-06-05 2001-12-21 Mitsubishi Electric Corp パターン歪み補正装置、パターン歪み補正方法、およびパターン歪み補正プログラムを記録した記録媒体
JP2002131882A (ja) * 2000-10-26 2002-05-09 Toshiba Corp マスクパターン補正方法、マスクパターン補正装置、マスクパターン補正プログラムを格納した記録媒体、及び半導体装置の製造方法
US20050229125A1 (en) * 2004-04-02 2005-10-13 Tabery Cyrus E System and method for integrated circuit device design and manufacture using optical rule checking to screen resolution enhancement techniques

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
FR2590376A1 (fr) 1985-11-21 1987-05-22 Dumant Jean Marc Procede de masquage et masque utilise
JPH04344979A (ja) 1991-05-22 1992-12-01 Mitsubishi Electric Corp パターンレイアウト検証装置
US5396584A (en) 1992-05-29 1995-03-07 Destiny Technology Corporation Multi-bit image edge enhancement method and apparatus
US5519628A (en) 1993-02-19 1996-05-21 International Business Machines Corporation System and method for formulating subsets of a hierarchical circuit design
JP2531114B2 (ja) 1993-10-29 1996-09-04 日本電気株式会社 光強度分布解析方法
JPH08297692A (ja) 1994-09-16 1996-11-12 Mitsubishi Electric Corp 光近接補正装置及び方法並びにパタン形成方法
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
JP3409493B2 (ja) 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
JP3934719B2 (ja) 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5723233A (en) 1996-02-27 1998-03-03 Lsi Logic Corporation Optical proximity correction method and apparatus
US5663891A (en) 1996-04-03 1997-09-02 Cadence Design Systems, Inc. Optimization of multiple performance criteria of integrated circuits by expanding a constraint graph with subgraphs derived from multiple PWL convex cost functions
JP3551660B2 (ja) 1996-10-29 2004-08-11 ソニー株式会社 露光パターンの補正方法および露光パターンの補正装置および露光方法
KR100257710B1 (ko) 1996-12-27 2000-06-01 김영환 리소그라피 공정의 시물레이션 방법
US6016357A (en) 1997-06-16 2000-01-18 International Business Machines Corporation Feedback method to repair phase shift masks
US5886191A (en) * 1997-08-18 1999-03-23 Dupont Pharmaceuticals Company Amidinoindoles, amidinoazoles, and analogs thereof
JP4624550B2 (ja) 1997-09-17 2011-02-02 シノプシス, インコーポレイテッド マスク記述のためのシステムにおけるデータ階層維持の方法及び装置
WO1999014636A1 (en) 1997-09-17 1999-03-25 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
JP4647095B2 (ja) 1997-09-17 2011-03-09 シノプシス, インコーポレイテッド データ階層レイアウトの補正と照合のための方法及び装置
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6243855B1 (en) 1997-09-30 2001-06-05 Kabushiki Kaisha Toshiba Mask data design method
US6033814A (en) 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6499003B2 (en) 1998-03-03 2002-12-24 Lsi Logic Corporation Method and apparatus for application of proximity correction with unitary segmentation
US6324674B2 (en) 1998-04-17 2001-11-27 Lsi Logic Corporation Method and apparatus for parallel simultaneous global and detail routing
US6128067A (en) 1998-04-28 2000-10-03 Kabushiki Kaisha Toshiba Correcting method and correcting system for mask pattern
JP3223965B2 (ja) 1998-07-10 2001-10-29 日本電気株式会社 化学増幅型レジスト形状の計算方法及び記録媒体
US6226781B1 (en) 1998-08-12 2001-05-01 Advanced Micro Devices, Inc. Modifying a design layer of an integrated circuit using overlying and underlying design layers
US6120952A (en) 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6263299B1 (en) 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US6249904B1 (en) 1999-04-30 2001-06-19 Nicolas Bailey Cobb Method and apparatus for submicron IC design using edge fragment tagging to correct edge placement distortion
US6301697B1 (en) 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US6467076B1 (en) 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design
US6187483B1 (en) 1999-05-28 2001-02-13 Advanced Micro Devices, Inc. Mask quality measurements by fourier space analysis
US6317859B1 (en) 1999-06-09 2001-11-13 International Business Machines Corporation Method and system for determining critical area for circuit layouts
US6238824B1 (en) 1999-08-31 2001-05-29 Micron Technology, Inc. Method for designing and making photolithographic reticle, reticle, and photolithographic process
US6080527A (en) 1999-11-18 2000-06-27 United Microelectronics Corp. Optical proximity correction of L and T shaped patterns on negative photoresist
US6643616B1 (en) 1999-12-07 2003-11-04 Yuri Granik Integrated device structure prediction based on model curvature
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
US6584609B1 (en) 2000-02-28 2003-06-24 Numerical Technologies, Inc. Method and apparatus for mixed-mode optical proximity correction
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US7412676B2 (en) 2000-06-13 2008-08-12 Nicolas B Cobb Integrated OPC verification tool
EP1330742B1 (en) 2000-06-13 2015-03-25 Mentor Graphics Corporation Integrated verification and manufacturability tool
US6430737B1 (en) 2000-07-10 2002-08-06 Mentor Graphics Corp. Convergence technique for model-based optical and process correction
US6782516B2 (en) 2000-08-07 2004-08-24 Dupont Photomasks, Inc. System and method for eliminating design rule violations during construction of a mask layout block
US6634018B2 (en) 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
US6815129B1 (en) 2000-09-26 2004-11-09 Euv Llc Compensation of flare-induced CD changes EUVL
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6792590B1 (en) 2000-09-29 2004-09-14 Numerical Technologies, Inc. Dissection of edges with projection points in a fabrication layout for correcting proximity effects
US6665856B1 (en) 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
TW466586B (en) 2001-01-17 2001-12-01 United Microelectronics Corp Unlanded process
US7392168B2 (en) 2001-03-13 2008-06-24 Yuri Granik Method of compensating for etch effects in photolithographic processing
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
US6574784B1 (en) 2001-06-14 2003-06-03 George P. Lippincott Short edge management in rule based OPC
US6649309B2 (en) 2001-07-03 2003-11-18 International Business Machines Corporation Method for correcting optical proximity effects in a lithographic process using the radius of curvature of shapes on a mask
JP2003031468A (ja) 2001-07-13 2003-01-31 Canon Inc 半導体露光装置の管理システム及び管理方法
US20030061583A1 (en) 2001-09-14 2003-03-27 Numerical Technologies, Inc. Shape and look-up table based design rule checking (DRC) for physical verification of integrated circuit layouts
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6668367B2 (en) 2002-01-24 2003-12-23 Nicolas B. Cobb Selective promotion for resolution enhancement techniques
US7293249B2 (en) 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US7013439B2 (en) 2002-01-31 2006-03-14 Juan Andres Torres Robles Contrast based resolution enhancing technology
US6887633B2 (en) 2002-02-08 2005-05-03 Chih-Hsien Nail Tang Resolution enhancing technology using phase assignment bridges
JP4152647B2 (ja) 2002-03-06 2008-09-17 富士通株式会社 近接効果補正方法及びプログラム
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6954911B2 (en) 2002-05-01 2005-10-11 Synopsys, Inc. Method and system for simulating resist and etch edges
AU2003274370A1 (en) * 2002-06-07 2003-12-22 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
JP4597859B2 (ja) 2002-07-15 2010-12-15 ケーエルエー−テンカー コーポレイション マイクロリソグラフパターンの製作におけるパターンの認定、パターン形成プロセス、又はパターン形成装置
US6973633B2 (en) 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
JP4365566B2 (ja) 2002-07-31 2009-11-18 富士通マイクロエレクトロニクス株式会社 光強度シミュレーション方法及びフォトマスクの設計方法
US7172838B2 (en) 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
US6832360B2 (en) 2002-09-30 2004-12-14 Sun Microsystems, Inc. Pure fill via area extraction in a multi-wide object class design layout
US6857109B2 (en) 2002-10-18 2005-02-15 George P. Lippincott Short edge smoothing for enhanced scatter bar placement
US7313508B2 (en) * 2002-12-27 2007-12-25 Lsi Corporation Process window compliant corrections of design layout
US6928634B2 (en) 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
US6718526B1 (en) 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US6989229B2 (en) 2003-03-27 2006-01-24 Freescale Semiconductor, Inc. Non-resolving mask tiling method for flare reduction
US20050234684A1 (en) 2004-04-19 2005-10-20 Mentor Graphics Corp. Design for manufacturability
US20050015740A1 (en) 2003-07-18 2005-01-20 Mentor Graphics Corp. Design for manufacturability
TWI237746B (en) 2003-07-23 2005-08-11 Nanya Technology Corp Optical proximity correction method
JP4202214B2 (ja) 2003-09-01 2008-12-24 富士通マイクロエレクトロニクス株式会社 シミュレーション方法及び装置
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
US7010776B2 (en) 2003-10-27 2006-03-07 International Business Machines Corporation Extending the range of lithographic simulation integrals
US7073162B2 (en) 2003-10-31 2006-07-04 Mentor Graphics Corporation Site control for OPC
US7269817B2 (en) * 2004-02-10 2007-09-11 International Business Machines Corporation Lithographic process window optimization under complex constraints on edge placement
US7523429B2 (en) 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
US7861207B2 (en) 2004-02-25 2010-12-28 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US7487490B2 (en) 2004-03-30 2009-02-03 Youping Zhang System for simplifying layout processing
US7194725B1 (en) 2004-04-02 2007-03-20 Advanced Micro Devices, Inc. System and method for design rule creation and selection
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8799830B2 (en) 2004-05-07 2014-08-05 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
EP1745373A4 (en) 2004-05-09 2009-04-15 Mentor Graphics Corp DEFEKTORT IDENTIFICATION FOR MICROELECTION MANUFACTURING AND VERIFICATION
US7240305B2 (en) 2004-06-02 2007-07-03 Lippincott George P OPC conflict identification and edge priority system
JP4709511B2 (ja) 2004-08-18 2011-06-22 株式会社東芝 マスクパターン補正方法、マスクパターン補正プログラム、フォトマスクの作製方法及び半導体装置の製造方法
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7197722B2 (en) 2004-09-30 2007-03-27 Intel Corporation Optimization of sample plan for overlay
US7281224B2 (en) 2004-10-26 2007-10-09 Synopsys, Inc. Wide geometry recognition by using circle-tangent variable spacing model
JP2006189724A (ja) 2005-01-07 2006-07-20 Toshiba Corp パターン抽出システム、測定ポイント抽出方法、パターン抽出方法及びパターン抽出プログラム
US7459248B2 (en) 2005-02-24 2008-12-02 James Word Performing OPC on structures with virtual edges
US7493587B2 (en) 2005-03-02 2009-02-17 James Word Chromeless phase shifting mask for integrated circuits using interior region
US8037429B2 (en) 2005-03-02 2011-10-11 Mentor Graphics Corporation Model-based SRAF insertion
US8176445B1 (en) 2005-04-15 2012-05-08 Qi-De Qian Method and system for optimizing integrated circuit layout
US7434199B2 (en) 2005-09-27 2008-10-07 Nicolas Bailey Cobb Dense OPC
US8015510B2 (en) 2006-02-17 2011-09-06 Mentor Graphics Corporation Interconnection modeling for semiconductor fabrication process effects
US7378202B2 (en) 2006-02-21 2008-05-27 Mentor Graphics Corporation Grid-based resist simulation
US7703067B2 (en) 2006-03-31 2010-04-20 Synopsys, Inc. Range pattern definition of susceptibility of layout regions to fabrication issues
US8056022B2 (en) 2006-11-09 2011-11-08 Mentor Graphics Corporation Analysis optimizer
US7966585B2 (en) 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
US7802226B2 (en) 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
US7739650B2 (en) 2007-02-09 2010-06-15 Juan Andres Torres Robles Pre-bias optical proximity correction
WO2009003139A1 (en) * 2007-06-27 2008-12-31 Cadence Design Systems, Inc. Robust design using manufacturability models
US9053283B2 (en) * 2013-03-12 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in finFET standard cells using filters

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07175204A (ja) * 1993-11-08 1995-07-14 Sony Corp フォトマスク、フォトマスクの製造方法、露光方法及び半導体装置の製造方法
JP2001350250A (ja) * 2000-06-05 2001-12-21 Mitsubishi Electric Corp パターン歪み補正装置、パターン歪み補正方法、およびパターン歪み補正プログラムを記録した記録媒体
JP2002131882A (ja) * 2000-10-26 2002-05-09 Toshiba Corp マスクパターン補正方法、マスクパターン補正装置、マスクパターン補正プログラムを格納した記録媒体、及び半導体装置の製造方法
US20050229125A1 (en) * 2004-04-02 2005-10-13 Tabery Cyrus E System and method for integrated circuit device design and manufacture using optical rule checking to screen resolution enhancement techniques

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010026076A (ja) * 2008-07-16 2010-02-04 Renesas Technology Corp リソグラフィシミュレーション装置、ならびにリソグラフィシミュレーションプログラムおよびそれを使用した半導体装置設計製造方法

Also Published As

Publication number Publication date
JP2007536581A (ja) 2007-12-13
US8799830B2 (en) 2014-08-05
JP2018106728A (ja) 2018-07-05
JP5706675B2 (ja) 2015-04-22
US20180260512A1 (en) 2018-09-13
JP6377106B2 (ja) 2018-08-22
US20050251771A1 (en) 2005-11-10
JP2015109099A (ja) 2015-06-11
US20150067618A1 (en) 2015-03-05
WO2005111874A3 (en) 2006-04-13
WO2005111874A2 (en) 2005-11-24
TW200604870A (en) 2006-02-01
JP2016189220A (ja) 2016-11-04
US9361424B2 (en) 2016-06-07
JP6069369B2 (ja) 2017-02-01
EP1747520B1 (en) 2018-10-24
EP1747520A2 (en) 2007-01-31
US20170004250A1 (en) 2017-01-05
US9977856B2 (en) 2018-05-22

Similar Documents

Publication Publication Date Title
JP6377106B2 (ja) プロセス変動バンドを用いた集積回路レイアウト設計法
US8612899B2 (en) Fast lithography compliance check for place and route optimization
US7886262B2 (en) System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
US7873504B1 (en) Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
Torres et al. Integrated circuit DFM framework for deep sub-wavelength processes
US7533359B2 (en) Method and system for chip design using physically appropriate component models and extraction
US11562118B2 (en) Hard-to-fix (HTF) design rule check (DRC) violations prediction
US20210264091A1 (en) Source mask optimization by process defects prediction
US20240126973A1 (en) Post-Routing Congestion Optimization
US11900042B2 (en) Stochastic-aware lithographic models for mask synthesis
Peter et al. Litho-friendly design (LfD) methodologies applied to library cells
Ban et al. A fast lithography verification framework for litho-friendly layout design
Sturtevant et al. Design for Manufacturing and Design Process Technology Co-Optimization
Sturtevant et al. 6 Design for Manufacturing and
Sreedhar Managing lithographic variations in design, reliability, & test using statistical techniques
Torres et al. Towards Manufacturability Closure: Process Variations and Layout Design
Karklin et al. Multidimensional physical design optimization for systematic and parametric yield loss reduction
Sreedhar Automatic techniques for modeling impact of sub-wavelength lithography on transistors and interconnects and strategies for testing lithography induced defects
Balasinski et al. DfM at 28 nm and Beyond
Choi Modeling of deterministic within-die variation in timing analysis, leakage current analysis, and delay fault diagnosis
Sharma Manufacturing-aware physical design techniques
Cain et al. Computational technology scaling from 32 nm to 28 and 22 nm through systematic layout printability verification
Mansfield et al. Conference 6521: Design for Manufacturability through Design-Process Integration

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130524

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130529

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130624

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130627

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130724

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130729

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130826

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140526

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140529

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140627

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140702

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140724

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140729

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140811

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150105

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150227

R150 Certificate of patent or registration of utility model

Ref document number: 5706675

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D04

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250