KR970077476A - 챔버내에 반도체 웨이퍼와 같은 공작물을 수직으로 이동시키고 위치시키기 위한 장치 - Google Patents

챔버내에 반도체 웨이퍼와 같은 공작물을 수직으로 이동시키고 위치시키기 위한 장치 Download PDF

Info

Publication number
KR970077476A
KR970077476A KR1019970019434A KR19970019434A KR970077476A KR 970077476 A KR970077476 A KR 970077476A KR 1019970019434 A KR1019970019434 A KR 1019970019434A KR 19970019434 A KR19970019434 A KR 19970019434A KR 970077476 A KR970077476 A KR 970077476A
Authority
KR
South Korea
Prior art keywords
shaft
support
chamber
plate
surrounding
Prior art date
Application number
KR1019970019434A
Other languages
English (en)
Inventor
위트만 조
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR970077476A publication Critical patent/KR970077476A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

가공처리 챔버내에 반도체 웨이퍼를 위치시키기 위한 가열 및 리프팅 장치가 제공되어 있는데, 가공처리 챔버 내에 웨이퍼를 지지하기 위한 지지대와 상기, 지지대의 하부 구역으로부터 하향으로 연장하고 리드 스크류를 말단부에 제공한 샤프트와, 상기 지지대와 샤프트의 선형 수직 전이를 제공하기 위해 상기 샤프트에 동축으로 제공되는 구동 수단을 포함한다. 상기 장치는 상기 지지대와 구동 샤프트 사이에 위치된 콘플랫(CONFLAT)조립체를 또한 포함한다. 상기 콘플랫(CONFLAT) 조립체는 서로 이동가능하게 연결된 상부 및 하부의 편평한 평면 플레이트를 포함한다. 상부 플레이트는 지지대의 하부 구역에 연결되며, 하부 플레이트는 구동 샤프트의 상단부에 연결된다. 콘플랫(CONFLAT

Description

챔버내에 반도체 웨이퍼와 같은 공작물을 수직으로 이동시키고 위치시키기 위한 장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제4도는 본 발명의 실시예에 따른 웨이퍼 리프트 기구의 측면도.

Claims (33)

  1. 챔버내에 반도체 웨이퍼와 같은 공작물을 수직으로 이동시키는 위치시키기 위한 장치에 있어서, 챔버내의 물품을 지지하기 위한 지지대와, 상기 지지대의 하부 구역으로부터 하향으로 연장하는 샤프트와, 상기 지지대와 샤프트의 선형 수직 전이를 제공하며 상기 샤프트의 말단부 근처의 상기 샤브트를 둘러싸고 상기 샤프트와 동축인 구동 수단을 포함하는 장치.
  2. 제1항에 있어서, 상기 구동 수단위의 상기 샤프트를 둘러싼 베어링을 더 포함하고 있는 장치.
  3. 제1항에 있어서, 상기 구동 수단과 상기 지지대 사이의 상기 샤프트를 둘러싼 벨로우즈 조립체를 더 포함하고 있는 장치.
  4. 제3항에 있어서, 상기 지지대에 상기 벨로우즈 조립체를 이동가능하게 연결하기 위한 수단을 더 포함하고 있는 장치.
  5. 제4항에 있어서, 상기 이동가능한 연결 수단은 서로 이동가능하게 연결된 한 쌍의 편평한 평면 플레이트와, 상기 지지대의 하부 구역에 연결된 상기 조립체의 상부 프레이트와, 상기 샤프트의 상단부에 연결된 상기 조립체의 하부 프레이트를 포함하고 있는 장치.
  6. 제5항에 있어서, 상기 이동가능한 연결 수단은 상기 상부 플레이트와 상기 하부 플레이트 사이에 금속 가스켓을 더 포함하고 있는 장치.
  7. 제6항에 있어서, 상기 상부 플레이트의 하부 표면과 상기 하부 프레이트의 상부 맞물림면은 칼날형 엣지형태로 금속 밀봉되는 장치.
  8. 제7항에 있어서, 상기 상부 플레이트 및 상기 하부 플레이트는 다수의 볼트에 의해 서로 제거가능하게 연결되어 있는 장치.
  9. 제1항에 있어서, 상기 지지대는 가열 부재를 갖는 장치.
  10. 제9항에 있어서, 상기 샤프트는 중공형 금속 실린더인 장치.
  11. 제10항에 있어서, 상기 가열 부재에 연결되고 중공형 금속 실린더를 통해 통과하는 다수의 와이어와 냉각 라인을 더 포함하고 있는 장치.
  12. 제1항에 있어서, 상기 구동 수단과 상기 지지대 사이의 위치에서 상기 샤프트를 둘러싼 상기 챔버의 하부벽에 이동가능하게 연결된 기저판을 더 포함하는 장치.
  13. 챔버내에 반도체 웨이퍼와 같은 공작물을 수직으로 이동시키고 위치시키기 위한 장치에 있어서, 챔버내의 물품을 지지하기 위한 지지대와 ,상기 지지대의 하부 구역으로부터 하햐으로 연장하는 샤프트와, 상기 샤프트의 말단부 근처의 샤프트를 둘러싼 회전가능한 중고형 샤프트 모터와, 그리고 상기 샤프트의 말단부와 구동가능하게 결합하기 위해 상기 샤프트 모터에 연결된 구동 플랜지를 포함하고 있는 장치.
  14. 제13항에 있어서, 상기 구동 플랜지는 상기 플랜지의 상기 말단부에 근접한 샤프트를 둘러싸고 상기 샤프트의 말단부내의 형성된 리드 스크류와 회전가능하게 결합하기 위한 나사를 갖는 장치.
  15. 제14항에 있어서, 상기 회전가능한 중공형 샤프트 모터 위의 상기 샤프트를 둘러싼 벨로우즈 조립체를 더 포함하고 있는 장치.
  16. 제14항에 있어서, 상기 회전가능한 중공형 샤프트 모터와 상기 지지대 사이의 상기 샤프트를 둘러싼 더 포함하고 있는 장치.
  17. 제16항에 있어서, 상기 지지대에 상기 벨로우즈 조립체를 이동가능하게 연결하기 위한 수단을 더 포함하고 있는 장치.
  18. 제17항에 있어서, 상기 이동가능한 연결 수단은 서로 이동가능하게 연결된 한 쌍의 편평한 평면 플레이트와, 상기 지지대의 하부 구역에 연결된 상기 조립체의 상부 프레이트와, 상기 샤프트의 상단부에 연결된 상기 조립체의 하부 플레이트를 포함하고 있는 장치.
  19. 제18항에 있어서, 상기 이동가능한 연결 수단은 상기 상부 플레이트와 상기 하부 플레이트 사이에 금속가스켓을 더 포함하고 있는 장치.
  20. 제19항에 있어서, 상기 상부 플레이트의 하부면과 상기 하부 프레이트의 상부 맞물림면은 칼날형 엣지형태로 금속 밀봉되는 장치.
  21. 제18항에 있어서, 상기 상부 플레이트 및 상기 하부 플레이트는 다수의 볼트에 의해 서로 제거가능하게 연결되어 있는 장치.
  22. 제13항에 있어서, 상기 지지대는 가열 부재를 가지는 장치.
  23. 제22항에 있어서, 상기 샤프트는 중공형 금속 실린더인 장치.
  24. 제23항에 있어서, 상기 가열 부재에 연결되고 중공형 금속 실린더를 통해 통과하는 다수의 와이어와 냉각 라인을 더 포함하고 있는 장치.
  25. 제13항에 있어서, 상기 구동 수단과 상기 지지대 사이의 위치에서 상기 샤프트를 둘러싼 상기 챔버의 하부벽에 제거가능하게 연결된 기저판을 더 포함하고 있는 장치.
  26. 챔버에서 반도체 웨이퍼와 같은 공작물을 수직으로 전이시키기 위한 리프트 기구에 있어서, 상기 물품을 지지하기 위해 챔버내에 위치된 지지대와, 서로 이동가능하게 연결된 한쌍의 편평한 평면 플레이트와, 상기 지지대의 하부 구역에 연결된 상부 플레이트와, 상기 챔버의 하부벽을 통해 하향으로 연장하는상기 한쌍의 플레이트중 하나의 플레이트의 하부 표면에 여결돈 샤프트와, 그리고 상기 샤프트의 말단부에 연결된 외부 구동 기구를 포함하고 있는 리프트 기구.
  27. 제26항에 있어서, 상기 외부 구동 수단은 상기 샤프트의 원심 단부에 근접한 샤프트를 룰러싼 회전가능 한 중공형 샤프트 모터와, 상기 회전 가능한 중공형 샤프트 모터에 연결되고 샤프트와 말단부에 근접해 있는 상기 샤프트를 둘러싸고 있으며 상기 샤프트의 말단부내에 형성된 리드 스크류를 회전가능하게 결합하기 위한 나사가 제공되어 잇는 구동 플랜지를 포함하고 있는 리프트 기구.
  28. 제27항에 있어서, 상기 회전가능한 중공형 샤프트 모터 위의 상기 샤프트를 둘러싼 베어링을 더 포함하고 있는 리프트 기구.
  29. 제27항에 있어서, 상기 회전가능한 중공형 샤프트 모터와 상기 한 쌍의 편평한 평면 플레이트 사이의 상기 샤프트를 두러싼 벨로우즈 조립체를 더 포함하고 있는 리프트 기구.
  30. 제26항에 있어서, 상기 상부 플레이트와 상기 하부 플레이트 사이에 금속 가스켓을 포함하고 있는 리프트 기구.
  31. 제30항에 있어서, 상기 상부 플레이트의 하부 표면과 상기 하부 플레이트의 상부 맞물림면은 칼날형 엣지 형태로 금속 밀봉되는 리프트 기구.
  32. 제26항에 있어서, 사기 상부 플레이트와 상기 하부 플레이트는 다수의 볼트에 의해 서로 제거가능하게 연결되는 리프트 기구.
  33. 기판 가공처리챔버내에 기판을 수직으로 이동시키고 위치시키는 방법에 있어서, 챔버내에 물품을 지지하기 위한 지지대를 제공하는 단계와, 상기 지지대의 하부 구역으로부터 샤프트를 하향으로 연장하는 단계와, 상기 샤프트를 둘러싸고 이와 동축으로 샤프트와 지지대의 선형 수직 전이를 제공하는 단계를 포함하고 있는 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019970019434A 1996-05-20 1997-05-20 챔버내에 반도체 웨이퍼와 같은 공작물을 수직으로 이동시키고 위치시키기 위한 장치 KR970077476A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/650,198 1996-05-20
US08/650,198 US5772773A (en) 1996-05-20 1996-05-20 Co-axial motorized wafer lift

Publications (1)

Publication Number Publication Date
KR970077476A true KR970077476A (ko) 1997-12-12

Family

ID=24607896

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970019434A KR970077476A (ko) 1996-05-20 1997-05-20 챔버내에 반도체 웨이퍼와 같은 공작물을 수직으로 이동시키고 위치시키기 위한 장치

Country Status (5)

Country Link
US (1) US5772773A (ko)
EP (1) EP0809278A3 (ko)
JP (1) JPH1050805A (ko)
KR (1) KR970077476A (ko)
TW (1) TW391045B (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
AT411304B (de) * 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
DE19749450C2 (de) * 1997-11-10 1999-09-02 Multiline International Europa Vorrichtung zum Transport von Werkstückhaltern im Kreislauf
US6080241A (en) * 1998-09-02 2000-06-27 Emcore Corporation Chemical vapor deposition chamber having an adjustable flow flange
US6350097B1 (en) * 1999-04-19 2002-02-26 Applied Materials, Inc. Method and apparatus for processing wafers
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US20030051656A1 (en) 1999-06-14 2003-03-20 Charles Chiun-Chieh Yang Method for the preparation of an epitaxial silicon wafer with intrinsic gettering
KR100316712B1 (ko) * 1999-06-22 2001-12-12 윤종용 화학기계적 연마장치에 웨이퍼를 로딩/언로딩하기 위한 로드컵의 페디스탈
EP1295324A1 (en) * 2000-06-30 2003-03-26 MEMC Electronic Materials, Inc. Method and apparatus for forming a silicon wafer with a denuded zone
US6599815B1 (en) 2000-06-30 2003-07-29 Memc Electronic Materials, Inc. Method and apparatus for forming a silicon wafer with a denuded zone
US6339016B1 (en) 2000-06-30 2002-01-15 Memc Electronic Materials, Inc. Method and apparatus for forming an epitaxial silicon wafer with a denuded zone
US6394440B1 (en) * 2000-07-24 2002-05-28 Asm America, Inc. Dual orientation leveling platform for semiconductor apparatus
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US8191901B2 (en) * 2000-10-26 2012-06-05 Kimball Physics, Inc. Minimal thickness, double-sided flanges for ultra-high vacuum components
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6592675B2 (en) * 2001-08-09 2003-07-15 Moore Epitaxial, Inc. Rotating susceptor
US6597964B1 (en) * 2002-05-08 2003-07-22 Taiwan Semiconductor Manufacturing Co., Ltd Thermocoupled lift pin system for etching chamber
US6729947B1 (en) * 2002-11-04 2004-05-04 Texas Instruments Incorporated Semiconductor wafer handler
US20040255442A1 (en) * 2003-06-19 2004-12-23 Mcdiarmid James Methods and apparatus for processing workpieces
US7026581B2 (en) * 2003-08-22 2006-04-11 Axcelis Technologies, Inc. Apparatus for positioning an elevator tube
CN101894779B (zh) 2003-08-29 2013-05-01 交叉自动控制公司 用于半导体处理的方法和装置
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20080051018A1 (en) * 2004-03-16 2008-02-28 Texas Instruments Incorporated Semiconductor Wafer Handler
KR100587681B1 (ko) * 2004-05-07 2006-06-08 삼성전자주식회사 반도체 제조용 챔버의 히터블록 장착용 라인의 실링구조
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
CN102207684B (zh) * 2010-03-31 2013-05-22 上海微电子装备有限公司 用于光刻机照明顶部模块的安装维护机构
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
JP6181438B2 (ja) * 2013-06-24 2017-08-16 株式会社荏原製作所 基板保持装置および基板洗浄装置
CN103883857A (zh) * 2014-03-08 2014-06-25 安徽万利达羽绒制品有限公司 一种调节平板高度的装置
US10186450B2 (en) * 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
CN104192763B (zh) * 2014-08-22 2016-05-25 太原理工大学 一种珩轮电镀自动升降机构
US10597779B2 (en) * 2015-06-05 2020-03-24 Applied Materials, Inc. Susceptor position and rational apparatus and methods of use
US9960072B2 (en) * 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP2017157746A (ja) * 2016-03-03 2017-09-07 東京エレクトロン株式会社 基板処理装置、基板処理方法および記憶媒体
US10892175B2 (en) 2018-07-26 2021-01-12 Samsung Electronics Co., Ltd. Stable heater rebuild inspection and maintenance platform
US11251067B2 (en) 2019-04-26 2022-02-15 Applied Materials, Inc. Pedestal lift for semiconductor processing chambers
EP4018473B1 (en) * 2019-08-19 2024-07-03 Oem Group, LLC Systems and methods for a lift and rotate wafer handling process
CN113013010B (zh) * 2019-12-20 2023-09-29 中微半导体设备(上海)股份有限公司 波纹管结构、调整垂直度的方法及其等离子体处理装置
CN111739820B (zh) * 2020-06-08 2023-05-16 北京北方华创微电子装备有限公司 半导体设备的反应腔室

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US381492A (en) * 1888-04-17 Vania
US1636560A (en) * 1924-03-05 1927-07-19 Ernest A Hall Portable grinding tool
US1849348A (en) * 1928-10-24 1932-03-15 Carl F Davis Garage
US2187390A (en) * 1938-03-30 1940-01-16 Reliance Elevator Mfg Company Safety and indicating mechanism for elevators
US2500175A (en) * 1945-03-28 1950-03-14 John A Guthrie Flexible coupling
US2471955A (en) * 1946-02-01 1949-05-31 Rodney Hunt Machine Co Bench stand table lift
US3211478A (en) * 1962-11-09 1965-10-12 Thomas H Batzer Aluminum foil ultra-high vacuum gasket seal
US4022939A (en) * 1975-12-18 1977-05-10 Western Electric Company, Inc. Synchronous shielding in vacuum deposition system
US4226208A (en) * 1977-08-04 1980-10-07 Canon Kabushiki Kaisha Vapor deposition apparatus
SU735545A2 (ru) * 1978-09-28 1980-05-25 Предприятие П/Я Г-4617 Лифт
US4311427A (en) * 1979-12-21 1982-01-19 Varian Associates, Inc. Wafer transfer system
US4681773A (en) * 1981-03-27 1987-07-21 American Telephone And Telegraph Company At&T Bell Laboratories Apparatus for simultaneous molecular beam deposition on a plurality of substrates
US4413180A (en) * 1982-02-26 1983-11-01 Automatix Incorporated Method and apparatus for image acquisition utilizing a hollow shaft motor and a concave, cylindrical reflector
DE3214760C2 (de) * 1982-04-21 1984-09-20 Held, Kurt, 7218 Trossingen Automatisch arbeitendes Schreibgerät zum Schreiben von Zeichen und Symbolen
US5024830A (en) * 1983-08-23 1991-06-18 The Board Of Regents, The University Of Texas Method for cryopreparing biological tissue for ultrastructural analysis
JPS60169148A (ja) * 1984-02-13 1985-09-02 Dainippon Screen Mfg Co Ltd 基板の搬送方法及びその装置
US4616860A (en) * 1984-03-12 1986-10-14 Thermionics Laboratory, Inc. Seal structure for metal vacuum joint
US4740702A (en) * 1986-01-22 1988-04-26 Nicolet Instrument Corporation Cryogenically cooled radiation detection apparatus
US4781551A (en) * 1986-06-30 1988-11-01 Matsushita Refrigeration Company Rotary compressor with low-pressure and high-pressure gas cut-off valves
DE8807010U1 (de) * 1987-06-16 1988-10-13 Hörmansdörfer, Gerd, 3167 Burgdorf Dichtverbindung
US4892321A (en) * 1988-06-16 1990-01-09 Colgate Samuel O Ultra-high vacuum device gasket with means of insertion and removal
US4944860A (en) * 1988-11-04 1990-07-31 Eaton Corporation Platen assembly for a vacuum processing system
JPH02231939A (ja) * 1989-03-06 1990-09-13 Fanuc Ltd 貫通形モータ
JPH0747797B2 (ja) * 1989-03-10 1995-05-24 川崎製鉄株式会社 耐つまとび性、耐泡・黒点欠陥性及びプレス成形性に優れたほうろう用鋼板並びにその製造方法
DE3915039A1 (de) * 1989-05-08 1990-11-15 Balzers Hochvakuum Hubtisch
JPH03185824A (ja) * 1989-12-15 1991-08-13 Sony Corp チャンバとそれを用いたチャンバシステム
ATE119948T1 (de) * 1990-03-30 1995-04-15 Sony Corp Sputteranlage.
US5060354A (en) * 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5205179A (en) * 1990-07-21 1993-04-27 Ina Walzlager Schaeffler Kg Speed changing device
JP2924141B2 (ja) * 1990-09-14 1999-07-26 松下電器産業株式会社 ワイヤボンディングの前工程における基板のプラズマクリーニング装置
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JPH04372150A (ja) * 1991-06-20 1992-12-25 Toshiba Corp ウェーハシールリング着脱装置
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
JP3183575B2 (ja) * 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
DE9306460U1 (de) * 1993-04-29 1993-09-16 Index-Werke Gmbh & Co Kg Hahn & Tessky, 73730 Esslingen Drehautomat
US5540821A (en) * 1993-07-16 1996-07-30 Applied Materials, Inc. Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
JPH0758036A (ja) * 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5421894A (en) * 1994-02-24 1995-06-06 Applied Materials, Inc. Power loss recovery for wafer heater
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5632873A (en) * 1995-05-22 1997-05-27 Stevens; Joseph J. Two piece anti-stick clamp ring

Also Published As

Publication number Publication date
EP0809278A3 (en) 2003-05-02
TW391045B (en) 2000-05-21
JPH1050805A (ja) 1998-02-20
US5772773A (en) 1998-06-30
EP0809278A2 (en) 1997-11-26

Similar Documents

Publication Publication Date Title
KR970077476A (ko) 챔버내에 반도체 웨이퍼와 같은 공작물을 수직으로 이동시키고 위치시키기 위한 장치
US4869801A (en) Apparatus for mounting workpieces
JP4297609B2 (ja) 保持装置
EP1067588A3 (en) Thermal reaction chamber for semiconductor wafer processing operations
EP1286221A3 (en) Positioning apparatus
CN105047555A (zh) 切削装置
JPH11502049A (ja) プラズマジェットでウェーハを処理する装置
KR930016141A (ko) 가열 처리 장치
KR20190086356A (ko) 산업용 로봇
TW444237B (en) Ion implantation apparatus
CN113467199B (zh) 一种便于拆卸的防止反溅液体污染晶圆的装置
JP3089392B2 (ja) ボンデイング装置
US6655423B2 (en) Unified pod quick-opening apparatus
US6932259B2 (en) Brittle material breaking apparatus
US6348073B1 (en) Apparatus and method for positioning an object at multiple positions within an enclosure
CN209820232U (zh) 一种自动冷却装置
JP2006237027A (ja) 基板保持装置
JP2593054B2 (ja) 研磨装置
CN220659303U (zh) 一种金属加工用钻孔辅助装置
JP2713768B2 (ja) 加工機のワークシュータ
CN111854483A (zh) 一种自动冷却装置
JPH0679140U (ja) 基板熱処理装置
JPH0677231U (ja) 基板熱処理装置
JP2635522B2 (ja) 板状物研磨装置
CN117051372A (zh) 一种带限位控制的晶圆载片台升降设备

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid