KR970000691B1 - 플라즈마 처리장치 및 이의 처리방법 - Google Patents

플라즈마 처리장치 및 이의 처리방법 Download PDF

Info

Publication number
KR970000691B1
KR970000691B1 KR1019910016380A KR910016380A KR970000691B1 KR 970000691 B1 KR970000691 B1 KR 970000691B1 KR 1019910016380 A KR1019910016380 A KR 1019910016380A KR 910016380 A KR910016380 A KR 910016380A KR 970000691 B1 KR970000691 B1 KR 970000691B1
Authority
KR
South Korea
Prior art keywords
plasma
magnetic field
vacuum vessel
cleaning
generating
Prior art date
Application number
KR1019910016380A
Other languages
English (en)
Other versions
KR920007128A (ko
Inventor
가쓰아끼 사이또
다꾸야 후꾸다
미찌오 오우에
다다시 소노베
Original Assignee
가부시기가이샤 히다찌 세이사꾸쇼
가나이 쯔도무
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시기가이샤 히다찌 세이사꾸쇼, 가나이 쯔도무 filed Critical 가부시기가이샤 히다찌 세이사꾸쇼
Publication of KR920007128A publication Critical patent/KR920007128A/ko
Application granted granted Critical
Publication of KR970000691B1 publication Critical patent/KR970000691B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

내용 없음.

Description

플라즈마 처리장치 및 이의 처리방법
제1도는 본 발명의 제1실시예의 플라즈마 처리장치의 단면도.
제2도는 본 발명의 제2실시예의 플라즈마 처리장치의 단면도.
제3a도는 본 발명의 제3실시예의 플라즈마 처리장치의 단면도.
제3b도는 제3a도의 플라즈마 처리장치의 세정속도와 위치간의 특징을 나타낸 도면.
제4도는 제3a도의 플라즈마 처리장치의 위치와 잔류 SiO2부착량간의 특징을 나타낸 도면.
제5a도는 본 발명의 제4실시형태의 플라즈마 처리장치의 단면도.
제5b도는 제5a도의 플라즈마 처리장치의 세정속도와 위치간의 특징을 나타낸 도면.
제6도는 본 발명의 제5실시형태의 플라즈마 처리장치의 단면도.
제7도는 제6도의 플라즈마 처리장치의 반응압력과 세정속도간의 특징을 나타낸 도면.
제8도는 본 발명의 제6실시형태의 플라즈마 처리장치의 단면도이다.
* 도면의 주요부분에 대한 부호의 설명
1 : 방전관 2 : 반응실
3 : 마이크로파 4 : 주자장코일
5 : 제어자장코일 6 : 기판
7 : 고주파전원 8,9 : 가스공급노즐
10,10a : 세정가스공급노즐 11 : 시료홀더
12 : 배기구 21 : 제어장치
본 발명은 반도체 장치의 제조과정에 있어서, CVD처리, 에칭처리, 스퍼터링처리등을 행하는 플라즈마 처리장치에 관한 것이며, 특히, 본 발명은 장치 내벽을 세정하는 기능을 구비한 플라즈마 처리장치에 관한 것이다.
반도체 장치의 제조에 사용되는 플라즈마 처리장치에서는 각종의 반응처리 과정에서 생긴 플라즈마 생성물이 진공용기 내벽에 부착된다.
예를 들면 질화극소(SiN)막을 SiH4가스와 N2가스 또는 NH3가스와 같은 원료가스를 사용하여 시료표면에 퇴적시킨 경우, 반응실 내벽에는 반응 생성물인 질화규소, 또는 과잉의 SiH4의 분해에 의한 분말상의 규소가 부착한다.
또 포토레지스트를 마스크로하여, CF4가스 플라즈마에 의해 산화규소막 또는 질화규소막을 에칭하면, 가스로부터 이온화 분해에 의해 유발된 플루오르화 탄소가 포토레지스트와 결합하여 유기수지막이 진공용기 내벽에 부착된다.
이와 같이 반응실의 내벽에 부착한 부착물이 박리하면, 처리조건이 변동하거나 시료가 박리된 부착물에 의해 오염되는 문제가 야기된다.
플라즈마 처리장치는 할로겐 원소함유 가스를 도입하여 플라즈마를 발생시키는 것에 의해 부착물을 에칭하거나 산소플라즈마에 의해 부착물을 에칭하거나 하여 주기적으로 반응실내를 세정하고 있다.
부착물을 효율좋게 세정하기 위해서는 세정되는 플라즈마가 도달되도록 세정부근에서 플라즈마를 발생시키거나 이온류를 효율좋게 부착물에 향하도록 할 필요가 있다. 다음과 같은 많은 종류의 플라즈마 처리장치가 제안되어 있다.
예를 들면, 일본국 특허공개 제62-287623호의 제3 및 제4도에 각기 나타낸 바와 같이, 반응실내의 자장균배를 처리시와 세정시에 택일적으로 역전시키거나 자장 카스프(cusp)를 형성시켜 이온의 입사방향을 전환시킴으로써 세정효율은 향상되게 된다.
일본국 특허공개 제1-231320호 제1도에 기술된 방법에 따라, 반응실의 내벽에 다수의 전위를 선택적으로 인가할 수 있는 전도성 보호벽을 설치하고, 플라즈마를 반응실의 내벽에 분산투사 시킴으로써 세정효율을 향상시킨다.
또한, 일본국 특허공개 제63-111177호에는 사이클로트론 공명점을 변화시키는 플라즈마 발생위치를 조정함으로써 반응실내의 원하는 위치를 효율적으로 세정하는 방법이 기술되어 있다.
상기한 선행기술은 진공용기 내부를 효율적이고도 균일하게 세정하는 방법에 대해서는 고려되어 있지 않으므로, 진공용기부를 균일하고도 효율적으로 세정할 수 없는 단점을 지니고 있다.
본 발명의 목적은 진공용기 내부를 효율적으로 세정할 수 있는 플라즈마 처리장치를 제공하는데 있다.
본 발명의 다른 목적은 진공용기 내부를 효율적이고도 균일하게 세정할 수 있는 플라즈마 처리장치를 제공하는데 있다.
상기한 목적을 달성하기 위해, 본 발명은 시료처리를 전자 사이클로트론 공명 여기를 이용하여 진공용기내에서 반응가스 플라즈마를 발생시켜 수행하고, 진공용기의 세정처리는 진공용기내에서 세정가스 플라즈마를 발생시켜 수행하고 다음과 같은 처리과정 어느 하나를 수행하는 것을 특징으로 한다 :
(1) 세정처리시 플라즈마 직경은 시료 처리 직경 보다 크게하고, 세정처리시 플라즈마 단부는 세정처리시 진공용기의 내벽에 도달하도록 한다.
(2) 세정 가스 플라즈마는 진공용기내에서 주사하도록 한다.
상기한 (1)의 방법을 적용하면, 진공용기 내부의 부착물로의 플라즈마종의 입사효율이 향상되므로, 효율좋은 세정이 가능하게 된다.
상기한 (2)의 방법을 적용하면, 진공용기 내벽부로의 플라즈마종의 입사위치가 연속적으로 변화하므로, 용기 내벽의 효율적이고 균일한 세정이 가능하게 된다.
이하, 도면을 참조하여 본 발명을 상세히 설명한다.
제1도에 의하면, 플라즈마 처리장치는 마이크로파(3)의 도입창을 조합하여 구성시킨 방전관(1), 반응실(2), 기판등의 시료(6)를 탑재하는 시료홀더(11), 시료홀더(11)에 고주파 전기장을 인가하는 고주파 전원(7), 주자장코일(4), 제어자장코일(5), 마이크로파(3)의 발산을 방지하여 전자 사이클로트론 공명(ECR) 여기에 의한 플라즈마 생성위치를 소정위치에 유지하는 마이크로파 발산 방지관(13), 가스공급노즐(8) 및 (9)와 배기구(12) 및 제어장치(21)로 이루어진다. 제어장치(21)는 반응실(2)내의 압력을 측정하기 위한 압력측정 장치(도시안됨)의 출력신호와 가스공급노즐(8) 및 (9)에 설치된 유속제어장치(도시안됨)의 개방도를 측정하고, +40암페어에서 -40암페어까지 주자장코일(4) 및 제어자장코일(5)을 흐르는 전류를 제어하고, 세정가스와 배기가스의 유속제어밸브(도시안됨)의 개방도를 조정한다.
플라즈마 처리장치는 시료처리시 2.45GHz의 마이크로파(3)에 의한 전기장과 자장코일(4) 및 (5)의 여기에 의한 875가우스 이상의 자속밀도를 갖는 자장에 의해 ECR을 유발하여 원통형 반응가스 플라즈마(14)를 반응실(2) 내에서 발생시킨다.
이하에, 플라즈마 처리장치를 사용하여 본 발명의 실시형태를 설명한다.
제1실시예의 1
하기에 질화실리콘막을 기판(6)상에 형성시킨 후 세정방법에 대해 설명한다.
진공용기내의 압력은 240ml/분와 속도로 N2가스를, 그리고 24ml/분의 속도로 SiH4를 도입하고 진공용기로부터 배기량을 조정하여 0.3Pa로 한다.
출력 600W외 마이크로파(3)를 도입하여 자장코일(4) 및 (5)에로의 전류를 조정함으로써 자속밀도 875가우스의 ECR면(19)을 마이크로파 발산 방지관(13)내로 발생시킨다. 고주파 전원(7)에 의해 시료홀더(11)에 출력 100W의 고주파 전기장을 인가한다.
상기한 작동에 의해, 자력선의 방향이 기판(6)에 거의 수직이 되는 원통형 ECR 플라즈마(14)가 발생한다. 두께 350nm의 SiN막이 60초간의 플라즈마(14)의 발생에 의해 기판(6)의 표면에 형성된다.
이때, 진공용기내의 4점에서의 SiN막의 부착량은 다음과 같다 :
① 시료홀더(11)상 …340nm
② SiH4도입구 부근 …225nm
③ 진공용기의 내벽 … 65nm
④ 진공용기의 내벽 … 52nm
SiN막을 기판(6)상에 350nm으로 퇴적하면, 플라즈마가 기판을 저면으로 하는 원통상임에도 불구하고, 상기한 바와 같은 각 위치 ① 내지 ④에 SiN막이 부착한다.
SiN막을 상기한 바와 같이 퇴적시킨 후, NF3가스를 세정가스로서 가스노즐(8)에 의해 반응실(2)로 도입한다. 상기한 ① 내지 ④의 4점에 있어서의 세정속도는 2종의 플라즈마 A 및 B를 다음 조건하에 사용한 경우로하여 표 1에 나타내었다.
A : 원통형 플라즈마(14)는 상기한 방법으로 발생시킨다.
B : 발산 플라즈마(15)는 자장코일(4) 및 (5)를 통해 흐르는 전류를 조정하고 플라즈마 단부가 진공용기 내벽에 도달하도록 발생시킨다.
이때의 플라즈마 발생 조건은 NF3의 유속이 150ml/분이고, 마이크로파 강도는 600W이고 세정시 진공용기내의 반응압력은 0.3Pa로 한다.
표 1로부터 명백한 바와 같이, 진공용기의 내벽 ③ 및 ④에서의 세정속도는 원통형 플라즈마의 경우 25내지 30nm/분이고 발산형 플라즈마의 경우 80 내지 95nm/분이다. 발산 플라즈마를 이용하면 원통형 플라즈마의 경우에 비해 세정속도가 2 내지 3배에 달한다는 것이 확인되었다.
즉, 본 실시예에서와 같이, 세정처리시의 플라즈마의 직경을 기판처리시 보다도 확장하고, 세정처리시에는 플라즈마 단부가 진공용기 내벽에 도달하여 진공용기내의 부착물로의 플라즈마종의 입사효율이 높아지도록 하면 세정속도를 현저하게 향상시킬 수 있다.
제1실시예의 2
다음에, 상기 제1실시예 1의 장치를 사용하여 기판(6)상에 SiO막을 퇴적시킨 후의 세정방법에 대하여 설명한다.
먼저, 가스노즐(8),(9) 각각으로부터 O가스를 240ml/분, SiH를 24ml/분씩 각기 도입하고, 기타 조건을 제1실시예 1에서와 같이 하여 SiH막을 형성시킨다.
다음에, 상기한 것과 같은 원통 플라즈마 및 발산 플라즈마를 발생시켜 SiO부착물의 세정효과를 조사하였다. 이와 동시에 시료홀더(1)에 400KHz 출력 100W의 고주파 전기장을 인가한 경우의 세정 효과에 대해서도 조사하였다.
결과를 표 2에 기재하였다.
표 2로부터 명백한 바와 같이, 진공용기내의 SiO부착물의 세정에 있어서도, 플라즈마 직경을 확장하는 발산 플라즈마를 이용하면 세정효율이 향상된다.
도, 전기장이 인가되는 부분에서는 전기장이 인가되지 않는 부분에 비해 세정효율이 더욱 향상된다. 환언하면, 산화막의 세정에 있어서는 진공용기내의 부착물에로의 이온 입사량을 증가하는 것이 효과적이다.
제2실시예
제1실시예의 장치를 사용하며, 진공용기내를 NF가스로 세정하기 전 및 세정한 후의 상태에 있어서, 표면에 Cr막이 형성된 유리기판(6)상에 SiN막을 350nm 두께로 퇴적하고, 그후, 별도의 장치에 의해 진성 아몰퍼스 Si막 및 N형 아몰퍼스 Si막을 유리기판(6)의 SiN막상에 연속적으로 형성시켜 박막 트랜지스터(TFT)를 공지의 수단에 의해 형성시키고, 각 트랜지스터의 이동도를 조사하였다.
이 결과, 세정을 행하기 전에 형성된 트랜지스터의 이동도가 0.6cm /V·sec이었던 것에 대해 세정을 행한 후에 형성된 트랜지스터의 이동도는 0.3cm /V·sec로 저하하여, 후자의 트랜지스터 특성이 전자의 것보다 열등하였다. 세정 후에 형성된 트랜지스터의 SiN막을 분석한 결과, 다량의 불소원소가 검출되었다.
본 발명의 실시예의 장치는 세정가스인 NF가스를 도입하기 위한 세정가스노즐(10)을 설치함과 동시에, 노즐(8),(9) 및 (10)의 진공용기내로의 도입구 부근에 각각 차단밸브(38),(39),(40)을 설치하고, 세정시에는 차단밸브(38),(39)를 닫고 세정을 행한다. 막 형성시에는 차단밸브(40)를 닫고 상기 트랜지스터를 형성시킨다. 상기한 본 실시예의 처리과정에 의한 이의 이동도는 0.6cm /V·sec이었다.
이상의 실험결과로부터 명백한 바와 같이, 세정가스의 전용 노즐을 설치함과 동시에, 기판처리시와 세정시각 노즐내의 잔류가스의 영향을 효율적으로 배제함으로써, 세정직후에 기판처리를 행하여도 특성이 우수한 박막을 형성시킬 수 있다.
제3실시예
제3도는 본 발명의 제3실시예를 설명하기 위한 도면이다.
본 실시예에서는 진공용기의 세정처리시 제어자장코일(5)에 의한 자장의 방향이 트랜지스터의 막형성시의 역방향이 되도록 제어하여, 주자장코일(4)에 의한 자장과 제어자장코일(5)에 의한 자장에 의해 진공용기내에, 소위 카스프 자장을 발생시켜, 이 카스프 자장에 의해 플라즈마 상태로 된 반응가스에 의해 진공용기내 부착물을 세정하도록 한 점에 특징이 있다.
먼저, O가스와 SiH가스를 재료가스로 하고, 기판(6)의 저면상에 발생한 원통 플라즈마(14)를 발생시켜 기판(6)상에 SiO를 퇴적한다.
한편, 세정시에 있어서, 세정가스로서 NF를 세정가스공급노즐(10)로부터 도입하고, 주자장코일(4) 및 제어자장코일(5)를 제어하여 카스프 자장을 발생시키고 진공용기의 내벽을 NF플라즈마에 의해 세정을 행한다.
NF플라즈마(17)는 카스프 자장 경계면(18)을 따라 진공용기 내벽의 방향(16)으로 흐르기 때문에, 진공용기의 내벽에 부착한 SiO는 경계면(18)에 상응하는 내벽에서 현저하게 고속으로 세정된다. 그러나 경계면(18)보다 하측 내벽에서는 제3B도에서 나타낸 바와 같이, 세정속도가 급격하게 감소된다.
본 발명의 본 실시예의 장치는 주자장코일(4) 및 제어자장코일(5)에 의해 형성되는 카스프 자장의 경계면(18)을 주자장코일(4)과 제어자장코일(5)와의 사이에서, 연속적으로 주사하도록 한다.
제4도는 카스프 자장위치를 일정하게 하여 세정한 경우(실선), 및 카스프 자장위치를 주사하여 세정한 경우(점선)의 잔류 SiO의 부착량을 나타낸 도면이다. 제4도로부터 명백한 바와 같이, 카스프 자장위치를 주사하면 부착물로의 플라즈마종의 입사위치가 연속적으로 변화하므로, 진공용기 내벽을 균일하게 세정할 수 있게 된다.
제4실시예
제5도는 본 발명의 제4실시예를 설명하기 위한 도면이다.
본 실시예에서는 세정처리시 주자장코일(4)에 의한 자장의 방향과 제어자장코일(5)에 의한 자장의 방향을 함께 SiO막 형성시의 역방향이 되도록 제어하여 장치의 상방으로 플라즈마(16)을 발생시켜, 장치의 상방을 균일하게 세정할 수 있도록 한 점에 특징이 있다.
상기한 제3의 실시예에서는 주자장코일(4)의 상측 위치의 방전관(1) 부근에 부착한 SiO를 균일하게 세정할 수 없었다. 따라서, 본 실시예에서는, 주자장코일(4) 및 제어자장코일(5)을 연속적으로 제어하여, 상기 제3실시예에서와 같은 카스프 자장 발생 상태로부터 주자장코일(4)의 여기전류를 서서히 내려서, 여기전류를 제로로 한 후, 다시 더 내려서 전류의 방향을 반전하여 제5도에 표시한 상방향 자장(16)를 발생시킨다.
또, 주자장코일(4) 및 제어자장코일(5)에 의한 자장의 방향을 함께 역방향으로한 상태에서 자장분포를 연속적으로 변화시켜, 플라즈마종의 진공용기 내벽으로의 입사위치를 연속적으로 변화시킴으로써 진공용기내를 균일하게 세정할 수 있도록 하였다.
본 실시예에 의하면, 장치 상방에서의 세정속도가 빨라지게 되므로, 방전관(1) 부근에 부착한 SiO를 효율좋게 그리고 균일하게 세정할 수 있게 된다.
제5실시예
제6도는 본 발명의 제5실시예의 플라즈마 처리장치의 구성을 나타낸 도면이다.
본 실시예에서는 세정가스(NF)의 유속을 측정하여 조정하는 유속조정장치(23)와, 진공용기내의 압력을 측정하는 압력측정장치(20)와, 상기 유속조정장치(23) 및 압력측정장치(20)의 출력신호를 검출하고, 검출결과에 따라 주자장코일(4), 제어자장코일(5)의 전류 흐름, 세정가스유속 및 배기가스밸브(22)의 개방도 등을 적절히 조정하는 제어장치(21)를 구비하고 있다. 기판(도시안됨)상에 막을 퇴적하는데 사용되는 가스를 공급하는 노즐(8) 및 (9)는 제6도에 표시되어 있지 않으나, 이들 노즐은 세정가스공급노즐(10)과 함께 설치되어 있다.
제7도로부터, 반응압력이 약 2Pa인때의 세정속도가 가장 빠른 것을 알았다.
상기 실험 결과로부터, 본 실시예에서는 세정속도가 가장 빠르게 되는 반응압력으로서 2Pa를 선정하고 제어장치(21)은 세정처리시의 반응압력이 항상 2Pa가 되도록, 세정가스유속 및 배기가스밸브(22)의 개방도를 조정한다.
이와 동시에, 제어장치(21)는 주자장코일(4) 및 제어자장코일(5)을 제어하여, 상기 각 실시예에서 설명한 바와 같은, 세정처리에 적합한 형상의 플라즈마를 발생시키기 위한 자장을 발생시킨다.
상기한 설명에서는, 세정가스로서 할로겐 원소함유 NF를 사용하였으나, 본 발명자들은 산소를 세정가스로서 사용한 경우에는 반응압력이 약 7×10 Pa인때의 세정속도가 가장 빠르다는 것을 확인하였다.
본 실시예에 의하면 가장 최적 조건에서의 세정이 가능하게 된다.
제6실시예
제8도는 본 발명의 제6실시예의 플라즈마 처리장치의 구성을 나타낸 도면이다.
본 실시예에서는 세정 가스공급노즐(10a)의 입구를 시료홀더(11) 부근에 설치한 점에 특징이 있다. 제8도에 나타낸 장치를 사용하여 세정처리를 행한 결과를 표 3에 나타내었다. 제8도에서 ① 내지 ④는 상기 제1도에 대해 설명한 진공용기내의 위치를 나타낸 것이며, 제6실시예에서는 세정조건은 상기 제1실시예에서 발산 플라즈마를 사용한 경우와 동일하다.
표 3으로부터 명백한 바와 같이, 본 실시예에 의하면, 제1실시예에서 발산 플라즈마를 사용한 경우(표 1)에 비해, 반응가스도입구 부근 ②에서의 세정속도는 저하하였으나, 시료홀더상 ① 및 용기 내벽부 ③,④의 세정속도는 현저히 향상하였다.
제7실시예
상기한 각 실시예에서는 본 발명을 CVD장치의 세정에 적용하여 설명하였으나 본 발명은 CVD장치에만 한정하는 것은 아니며, 본 발명은 에칭장치등에도 적용할 수 있다.
예를 들면, 제1도에 대해 설명한 장치를 에칭장치로서 사용하고, CF가스를 에칭가스로서 사용하여 Si기판(6)을 에칭하면, 제1도에 표시한 위치 ① 내지 ④에 탄소가 부착한다.
산소가스를 세정가스로서 사용하여, 상기 실시예와 동일한 원통 플라즈마 및 발산 플라즈마를 발생시킨 때의 세정속도를 표 4에 나타내었다.
표 4로부터 명백한 바와 같이, 에칭 세정시 진공용기 ③ 및 ④위치를 세정하는데 발산 플라즈마를 사용한 경우, 발산 플라즈마를 사용한 세정속도가 원통 플라즈마를 사용한 경우에 비해 빠른 것을 알 수 있다.
또, 시료홀더(11)에 400KHz, 출력 100W의 고주파 전기장을 인가하면, 발산 플라즈마를 사용한 세정속도가 원통 플라즈마를 사용한 경우에 비해 빠르다.
이상의 설명으로부터 명백한 바와 같이, 본 발명에 의하면, 세정처리시의 플라즈마 직경을 시료처리시의 플라즈마 직경 보다도 크게하고, 세정처리시의 플라즈마종이 진공용기 내벽에 도달하도록 하기 때문에, 세정속도가 향상하여 효율좋은 세정처리가 가능하게 된다.
또 세정처리시의 주자장코일 및 제어자장코일을 제어하여 진공용기 내벽으로의 플라즈마종의 입사위치를 주사하도록 하면, 용기내를 균일하고 또한 효율좋게 세정할 수 있게 된다.

Claims (17)

  1. 전자 사이클로트론 공명 여기를 사용하여 진공용기내에서 반응가스 플라즈마를 발생시켜 시료처리를 행하고 진공용기내에서 세정가스 플라즈마를 발생시켜 진공용기 내벽의 세정처리를 행하는 플라즈마 처리장치에 있어서, 진공용기내에 반응가스를 공급하는 반응가스공급노즐과 사이클로트론 공명을 위해 사용되는 자장을 진공용기내의 플라즈마 발생 영역에서 발생시키는 주자장코일과 ; 플라즈마파를 제어하기 위해 사용되는 다른 자장을 진공용기내의 플라즈마 반응 영역에서 발생시키는 제어자장코일과 ; 시료를 플라즈마 반응영역에서 지지하는 시료홀더와 ; 원통 플라즈마 및 최대 플라즈마 직경이 이 원통 플라즈마 보다도 큰 발산 플라즈마중 어느 하나를 선택적으로 발생시키는 여기 제어수단으로 이루어지고, 상기 여기 제어수단은 시료 처리시에는 원통형 반응가스 플라즈마를 발생시키고, 세정처리시에는 발산형 세정가스 플라즈마를 발생시키는 것을 특징으로 하는 플라즈마 처리장치.
  2. 전자 사이클로트론 공명 여기를 사용하여 진공용기내에서 반응가스 플라즈마를 발생시켜 시료처리를 행하고 진공용기내에서 세정가스 플라즈마를 발생시켜 진공용기 내벽의 세정처리를 행하는 플라즈마 처리장치에 있어서, 진공용기내에 반응가스를 공급하는 반응가스공급노즐과 ; 사이클로트론 공명을 위해 사용되는 자장을 진공용기내의 플라즈마 발생 영역에서 발생시키는 주자장코일과 ; 플라즈마파를 제어하기 위해 사용되는 다른 자장을 진공용기내의 플라즈마 반응 영역에서 발생시키는 제어자장코일과 ; 시료를 플라즈마 반응영역에서 지지하는 시료홀더와 ; 세정처리시에 제어자장코일에 의한 자장 방향을 시료처리시의 역방향으로 전환하여 주자장코일과 제어자장코일과의 사이에 카스프 자장을 발생시키는 카스프 자장 발생 수단으로 이루어지고, 세정가스 플라즈마는 카스프 자장에 의해 발생시키고, 주자장코일 및 제어자장코일중 어느 하나를 연속적으로 제어하여 카스프 자장 경계면을 주자장코일과 제어자장코일과의 사이에서 주사시키는 것을 특징으로 하는 플라즈마 처리장치.
  3. 전자 사이클로트론 공명 여기를 사용하여 진공용기내에서 반응가스 플라즈마를 발생시켜 시료처리를 행하고 진공용기내에서 세정가스 플라즈마를 발생시켜 진공용기 내벽의 세정처리를 행하는 플라즈마 처리장치에 있어서, 진공용기내에 반응가스를 공급하는 반응가스공급노즐과 사이클로트론 공명을 위해 사용되는 자장을 진공용기내의 플라즈마 발생 영역에서 발생시키는 주자장코일과 ; 플라즈마파를 제어하기 위해 사용되는 다른 자장을 진공용기내의 플라즈마 반응 영역에서 발생시키는 제어자장코일과 ; 시료를 플라즈마 반응영역에서 지지하는 시료홀더와 ; 주자장코일 및 제어자장코일의 자장 방향을 시료처리시의 역방향으로 전환하는 전환 수단과 ; 주자장코일 및 제어자장코일에 의한 자장분포를 연속적으로 변화시키는 여기 제어수단으로 이루어진 것을 특징으로 하는 플라즈마 처리장치.
  4. 제1항에 있어서, 세정가스의 유속을 측정하여 이의 유속을 조정하는 유속 조정수단과 , 진공용기내의 압력을 측정하는 압력 측정 수단과 ; 반응가스유속 또는 배기가스유속중 어느 하나를 제어하고 진공용기내의 압력을 미리 정한 값으로 유지시키는 압력 제어수단을 추가로 포함하는 플라즈마 처리장치.
  5. 제2항에 있어서, 세정가스의 유속을 측정하여 이의 유속을 조정하는 유속 조정수단과 ; 진공용기내의 압력을 측정하는 압력 측정 수단과 ; 반응가스 유속 또는 배기가스 유속중 어느 하나를 제어가고 진공용기내의 압력을 미리 정한 값으로 유지시키는 압력 제어수단을 추가로 포함하는 플라즈마 처리장치.
  6. 제3항에 있어서, 세정가스의 유속을 측정하여 이의 유속을 조정하는 유속 조정수단과 ; 진공용기내의 압력을 측정하는 압력 측정 수단과 ; 반응가스 유속 또는 배기가스 유속중 어느 하나를 제어하고 진공용기내의 압력을 미리 정한 값으로 유지시키는 압력 제어수단을 추가로 포함하는 플라즈마 처리장치.
  7. 제1항에 있어서, 세정가스를 진공용기내로 공급하는 세정가스공급노즐과 ; 진공용기 내부와 반응가스공급노즐 사이의 반응가스 통로를 차단하는 차단수단을 추가로 포함하는 플라즈마 처리장치.
  8. 제2항에 있어서, 세정가스를 진공용기내로 공급하는 세정가스공급노즐과 ; 진공용기 내부와 반응가스공급노즐 사이의 반응가스 통로를 차단하는 차단수단을 추가로 포함하는 플라즈마 처리장치.
  9. 제3항에 있어서, 세정가스를 진공용기내로 공급하는 세정가스공급노즐과 ; 진공용기 내부와 반응가스공급노즐 사이의 반응가스 통로를 차단하는 차단수단을 추가로 포함하는 플라즈마 처리장치.
  10. 제1항에 있어서, 세정가스공급노즐의 입구를 진공용기의 시료홀더 부근에 설치한, 플라즈마 처리장치.
  11. 제2항에 있어서, 세정가스공급노즐의 입구를 진공용기의 시료홀더 부근에 설치한, 플라즈마 처리장치.
  12. 제3항에 있어서, 세정가스공급노즐의 입구를 진공용기의 시료홀더 부근에 설치한, 플라즈마 처리장치.
  13. 제1항에 있어서, 시료홀더에 고주파 전기장을 인가하는 수단을 추가로 포함하는 플라즈마 처리장치.
  14. 제2항에 있어서, 시료홀더에 고주파 전기장을 인가하는 수단을 추가로 포함하는 플라즈마 처리장치.
  15. 제3항에 있어서, 시료홀더에 고주파 전기장을 인가나는 수단을 추가로 포함하는 플라즈마 처리장치.
  16. 전자 사이클로트론 공명 여기를 이용하여 진공용기내에서 반응가스 플라즈마를 발생시켜 시료처리를 행하고 진공용기내에서 세정가스 플라즈마를 발생시켜 진공용기 내벽의 세정처리를 행하는 플라즈마 처리장치에 있어서, 세정처리시의 시료면에 평행한 면내에서의 최대 플라즈마 직경은 시료처리시의 시료면에 평행한 면내에서의 최대 플라즈마 직경 보다도 크고, 세정처리시의 최대 플라즈마 직경부에서의 플라즈마 단부는 진공용기 내벽에 도달하는 것을 특징으로 하는 플라즈마 처리방법.
  17. 제16항에 있어서, 세정가스 플라즈마를 진공용기내에서 주사하는 플라즈마 처리방법.
KR1019910016380A 1990-09-21 1991-09-19 플라즈마 처리장치 및 이의 처리방법 KR970000691B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP90-253398 1990-09-21
JP2253398A JP2598336B2 (ja) 1990-09-21 1990-09-21 プラズマ処理装置

Publications (2)

Publication Number Publication Date
KR920007128A KR920007128A (ko) 1992-04-28
KR970000691B1 true KR970000691B1 (ko) 1997-01-18

Family

ID=17250821

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019910016380A KR970000691B1 (ko) 1990-09-21 1991-09-19 플라즈마 처리장치 및 이의 처리방법

Country Status (3)

Country Link
US (1) US5211825A (ko)
JP (1) JP2598336B2 (ko)
KR (1) KR970000691B1 (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
JPH0752718B2 (ja) 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US6113701A (en) 1985-02-14 2000-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US5633192A (en) * 1991-03-18 1997-05-27 Boston University Method for epitaxially growing gallium nitride layers
US7235819B2 (en) * 1991-03-18 2007-06-26 The Trustees Of Boston University Semiconductor device having group III nitride buffer layer and growth layers
JP3042127B2 (ja) * 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
JP2987663B2 (ja) * 1992-03-10 1999-12-06 株式会社日立製作所 基板処理装置
JP3172757B2 (ja) * 1993-05-01 2001-06-04 東京エレクトロン株式会社 プラズマ処理装置
JPH0711446A (ja) * 1993-05-27 1995-01-13 Applied Materials Inc 気相成長用サセプタ装置
US6007637A (en) * 1993-06-11 1999-12-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process and apparatus for the dry treatment of metal surfaces
US5458725A (en) * 1993-08-17 1995-10-17 Motorola, Inc. Gas distribution system
US5759922A (en) * 1993-08-25 1998-06-02 Micron Technology, Inc. Control of etch profiles during extended overetch
JPH07245193A (ja) * 1994-03-02 1995-09-19 Nissin Electric Co Ltd プラズマ発生装置及びプラズマ処理装置
US5503676A (en) * 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
JP2962181B2 (ja) * 1995-02-01 1999-10-12 ヤマハ株式会社 ドライエッチング方法及び装置
JPH08335573A (ja) * 1995-04-05 1996-12-17 Tokyo Electron Ltd プラズマ成膜方法及びその装置
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
US5988187A (en) 1996-07-09 1999-11-23 Lam Research Corporation Chemical vapor deposition system with a plasma chamber having separate process gas and cleaning gas injection ports
DE19644150A1 (de) * 1996-10-24 1998-04-30 Roland Dr Gesche Magnetfeldunterstütztes Reinigen, Entfetten und Aktivieren mit Niederdruck-Gasentladungen
KR100502945B1 (ko) * 1996-11-14 2005-11-23 동경 엘렉트론 주식회사 플라즈마처리장치의세정방법
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6068783A (en) * 1998-04-28 2000-05-30 Winbond Electronics Corp In-situ and non-intrusive method for monitoring plasma etch chamber condition utilizing spectroscopic technique
JP2000021871A (ja) 1998-06-30 2000-01-21 Tokyo Electron Ltd プラズマ処理方法
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
JP2002055662A (ja) * 2000-08-11 2002-02-20 Nec Corp 液晶表示装置及びその駆動方法
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US20030150475A1 (en) * 2002-02-11 2003-08-14 Lorne Abrams Method and apparatus for sanitizing reusable articles
US7056416B2 (en) * 2002-02-15 2006-06-06 Matsushita Electric Industrial Co., Ltd. Atmospheric pressure plasma processing method and apparatus
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
JP5011631B2 (ja) * 2004-06-01 2012-08-29 富士ゼロックス株式会社 半導体製造装置および半導体製造システム
JP2006169589A (ja) * 2004-12-16 2006-06-29 Shinko Seiki Co Ltd 表面処理装置
JP4943047B2 (ja) 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US8092605B2 (en) * 2006-11-28 2012-01-10 Applied Materials, Inc. Magnetic confinement of a plasma
US20090014644A1 (en) * 2007-07-13 2009-01-15 Inficon, Inc. In-situ ion source cleaning for partial pressure analyzers used in process monitoring
US8247812B2 (en) * 2009-02-13 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Transistor, semiconductor device including the transistor, and manufacturing method of the transistor and the semiconductor device
JP2012023098A (ja) * 2010-07-12 2012-02-02 Hitachi High-Technologies Corp プラズマ処理装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
JPS62261125A (ja) * 1986-05-08 1987-11-13 Fuji Electric Co Ltd 乾式薄膜加工装置
JPH0715899B2 (ja) * 1986-06-06 1995-02-22 株式会社日立製作所 プラズマ処理方法及び装置
JPS63111177A (ja) * 1986-10-29 1988-05-16 Hitachi Ltd マイクロ波プラズマ薄膜形成装置
JPS63119225A (ja) * 1986-11-06 1988-05-23 Fujitsu Ltd プラズマcvd装置
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JPS63210275A (ja) * 1987-02-24 1988-08-31 Semiconductor Energy Lab Co Ltd プラズマ反応装置内を清浄にする方法
JP2637509B2 (ja) * 1987-10-15 1997-08-06 キヤノン株式会社 新規なダイヤモンド状炭素膜及びその製造方法
JPH01298170A (ja) * 1988-05-25 1989-12-01 Tel Sagami Ltd Ecrプラズマ処理装置
JP2595002B2 (ja) * 1988-01-13 1997-03-26 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
JP2696892B2 (ja) * 1988-03-11 1998-01-14 住友金属工業株式会社 プラズマプロセス装置
JP2696891B2 (ja) * 1988-03-11 1998-01-14 住友金属工業株式会社 プラズマプロセス装置
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
JPH02174229A (ja) * 1988-12-27 1990-07-05 Sumitomo Metal Ind Ltd プラズマ装置およびその使用方法
JPH07101685B2 (ja) * 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
JPH0375373A (ja) * 1989-08-18 1991-03-29 Fujitsu Ltd プラズマ処理装置の清浄化方法
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate

Also Published As

Publication number Publication date
JP2598336B2 (ja) 1997-04-09
JPH04131379A (ja) 1992-05-06
US5211825A (en) 1993-05-18
KR920007128A (ko) 1992-04-28

Similar Documents

Publication Publication Date Title
KR970000691B1 (ko) 플라즈마 처리장치 및 이의 처리방법
US6392350B1 (en) Plasma processing method
US6333269B2 (en) Plasma treatment system and method
KR101941064B1 (ko) 플라스마 처리 방법
US20030119328A1 (en) Plasma processing apparatus, and cleaning method therefor
JP4143684B2 (ja) プラズマドーピング方法及び装置
KR20180051663A (ko) 원자 레벨 레졸루션 및 플라즈마 프로세싱 제어를 위한 방법들
JP2001023955A (ja) プラズマ処理装置
JPH098014A (ja) プラズマ成膜方法及びその装置
WO1993018201A1 (en) Plasma implantation process and equipment
KR20190086699A (ko) 표면 입자를 감소시키기 위한 플라즈마 방전 점화 방법
KR101076516B1 (ko) 플라즈마 처리방법 및 장치
US6320154B1 (en) Plasma processing method
KR100249548B1 (ko) 플라즈마 처리 시스템
JP2000068227A (ja) 表面処理方法および装置
JP3520577B2 (ja) プラズマ処理装置
US6096176A (en) Sputtering method and a sputtering apparatus thereof
KR20180124773A (ko) 플라즈마 처리 장치의 세정 방법
JP4193255B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP3961247B2 (ja) プラズマ処理方法、プラズマ処理装置及び半導体装置の製造方法
KR20020018154A (ko) 막 형성 방법 및 막 형성 장치
US6431114B1 (en) Method and apparatus for plasma processing
JP2006310883A (ja) プラズマ処理装置およびそのクリーニング方法
KR20010042483A (ko) 가스 처리 장치
JP3211480B2 (ja) ドライクリーニング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
G160 Decision to publish patent application
O035 Opposition [patent]: request for opposition
E601 Decision to refuse application
O063 Decision on refusal after opposition [patent]: decision to refuse application