KR950018380A - 동계 금속용 연마액 및 반도체 장치의 제조 방법 - Google Patents

동계 금속용 연마액 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR950018380A
KR950018380A KR1019940033879A KR19940033879A KR950018380A KR 950018380 A KR950018380 A KR 950018380A KR 1019940033879 A KR1019940033879 A KR 1019940033879A KR 19940033879 A KR19940033879 A KR 19940033879A KR 950018380 A KR950018380 A KR 950018380A
Authority
KR
South Korea
Prior art keywords
polishing
alloy
copper
manufacturing
semiconductor device
Prior art date
Application number
KR1019940033879A
Other languages
English (en)
Other versions
KR0165145B1 (ko
Inventor
히데아끼 히라바야시
마사또시 히구찌
Original Assignee
사또 후미오
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 사또 후미오, 가부시끼가이샤 도시바 filed Critical 사또 후미오
Publication of KR950018380A publication Critical patent/KR950018380A/ko
Application granted granted Critical
Publication of KR0165145B1 publication Critical patent/KR0165145B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명의 목적은 동 또는 동합금의 침지시에 상기 동 또는 동합금을 거의 에칭하지 않고, 연마 처리시에 상기 동 또는 동합금을 용해시키서 침지시와 연마처리시 사이에 수배 내지 수십배의 에칭 속도차를 나타내는 동계 금속용 연마액을 제공하고자 하는 것이다.
본 발명의 구성은 아미노 초산 및 아미드 유산에서 선택되는 적어도 1종류의 유기산과 산화제와 물을 함유하는 것을 특징으로 하고 있다.

Description

동계 금속용 연마액 및 반도체 장치의 제조 방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 연마 공정에 사용되는 폴리싱 장치를 도시한 개략도이다.
제2도는 0.1중량%의 아미노초산, 과산화수소 및 물로 구성되는 조성의 연마액에서의 과산화수소의 양과 그 연마액에 침지(浸漬)했을 때의 Cu막의 에칭 속도, 연마 처리시의 Cu막의 폴리싱 속도의 관계를 도시한 특성도이다.
제4도는 가공전에 본 발명의 연마액의 침지 후 연마 처리 후의 Cu막 표면의 XPS에 의한 스펙트럼도이다.
제5도는 0.9중량%의 아미노 초산, 과산화수소 및 물로 구성되는 조성의 연마액에서의 과산화수소의 양과 그 연마액에 침지했을 때의 Cu막의 에칭 속도, 연마 처리시의 Cu막의 폴리싱 속도의 관계를 도시한 특성도이다.
제6도는 아미드 유산, 과산화수소 및 물로 구성되는 조성의 연마액에서의 과산화수소의 양과 그 연마액에 침지했을 때의 Cu막의 에칭 속도, 연마 처리시의 Cu막의 폴리싱 속도의 관계를 도시한 특성도이다.

Claims (20)

  1. 아미노 초산 및 아미드 유산에서 선택되는 적어도 1종류의 유기산과 산화제와 물을 함유하는 것을 특징으로 하는 동계 금속용 연마액.
  2. 제1항에 있어서, 상기 산화제는 과산화수소인 것을 특징으로 하는 동계 금속용 연마액.
  3. 제1항에 있어서, 상기 유기산은 상기 연마액 중에 0.01내지 10중량%의 양으로 함유되고, 상기 유기산과 상기 산화제의 함유 비율은 중량 비율에서 상기 유기산 1에 대해 상기 산화제가 20이상인 것을 특징으로 하는 동계 금속용 연마액.
  4. 제1항에 있어서, PH를 9내지 14로 조절하기 위한 알카리제가 더 함유되는 것을 특징으로 하는 동계 금속용 연마액.
  5. 제1항에 있어서, 연마 입자(硏磨粒子)를 더 함유하는 것을 특징으로 하는 동계 금속용 연마액.
  6. 제5항에 있어서, 상기 연마 입자는 상기 연마액 중에 1내지 14중량%의 양으로 함유되는 것을 특징으로 하는 동계 금속용 연마액.
  7. 반도체 기판 위의 절연막에 배선층의 형상에 상당하는 홈 및/또는 개구부를 형성하는 공정, 상기 홈 및/또는 개구부를 포함하는 상기 절연막 위에 동 또는 동합금으로 된 배선 재료막을 퇴적하는 공정 및 아미노 초산 및 아미드 유산에서 선택되는 적어도 1종류의 유기산과 산화제와 물을 함유하는 연마액을 이용해서 상기 배선 재료막을 상기 절연막의 표면이 노출될 때까지 연마 처리함으로써 상기 절연막에 그 표면과 면이 일치하는 매입 배선층을 형성하는 공정을 구비한 것을 특징으로 하는 반도체 장치의 제조 방법.
  8. 제7항에 있어서, 상기 Cu합금은 Cu-Si 합금, Cu-Al 합금, Cu-Si-Al 합금 또는 Cu-Ag합금인 것을 특징으로 하는 반도체 장치의 제조 방법.
  9. 제7항에 있어서, 상기 연마 처리는 연마 패드로 덮인 턴 테이블, 상기 테이블의 연마 패드에 상기 연마액을 공급하는 수단, 상기 반도체 기판을 아래쪽 면으로 유지하고, 상기 기판을 상기 연마 패드에 압압해서 회전시키는 기판 홀더를 구비한 폴리싱 장치를 이용해서 행할 수 있는 것을 특징으로 하는 반도체 장치의 제조 방법.
  10. 제9항에 있어서, 상기 연마 처리의 종점 검출은 상기 폴리싱 장치의 상기 테이블의 회전 토크의 변화에 기초해서 이루어지는 것을 특징으로 하는 반도체 장치의 제조 방법.
  11. 제9항에 있어서, 상기 연마 처리의 종점 검출은 상기 연마 패드의 온도 변화에 기초해서 이루어지는 것을 특징으로 하는 반도체 장치의 제조 방법.
  12. 제9항에 있어서, 상기 연마 처리의 종점 검출은 상기 연마 패드에 공급되는 상기 연마액의 pH변화에 기초해서 이루어지는 것을 특징으로 하는 반도체 장치의 제조 방법.
  13. 반도체 기판 위의 절연막에 배선층의 형상에 상당하는 홈 및/또는 개구부를 형성하는 공정, 상기 홈 및/또는 개구부를 포함하는 상기 절연막 위에 동 또는 동합금으로 된 배선 재료막을 퇴적하는 공정, 아미노 초산 및 아미드 유산에서 선택되는 적어도 1종류의 유기산과 산화제와 물을 함유하는 연마액을 이용해서 상기 배선 재료막을 상기 절연막의 표면이 노출될 때까지 연마 처리함으로써 상기 절연막에 그 표면과 면이 일치하는 매입 배선층을 형성하는 공정 및 상기 배선층을 포함하는 상기 절연막 표면을 용존 오존 수용액으로 처리하고, 희불산 수용액으로 다시 처리하는 공정을 구비한 것을 특징으로 하는 반도체 장치의 제조 방법.
  14. 제13항에 있어서, 상기 Cu합금은 Cu-Si 합금, Cu-Al 합금, Cu-Si-Al 합금 또는 Cu-Ag합금인 것을 특징으로 하는 반도체 장치의 제조 방법.
  15. 제13항에 있어서, 연마 패드로 덮인 턴 테이블, 상기 테이블의 연마 패드에 상기 연마액을 공급하는 수단, 상기 반도체 기판을 아래쪽 면으로 유지하고, 상기 기판을 상기 연마 패드에 압압해서 회전시키는 기판 홀더를 폴리싱 장치를 이용해서 행할 수 있는 것을 특징으로 하는 반도체 장치의 제조 방법.
  16. 제15항에 있어서, 상기 연마 처리의 종점 검출은 상기 폴리싱 장치의 상기 테이블의 회전 토크의 변화에 기초해서 이루어지는 것을 특징으로 하는 반도체 장치의 제조 방법.
  17. 제15항에 있어서, 상기 연마 처리의 종점 검출은 상기 연마 패드의 온도 변화에 기초해서 이루어지는 것을 특징으로 하는 반도체 장치의 제조 방법.
  18. 제15항에 있어서, 상기 연마 처리의 종점 검출은 상기 연마 패드에 공급되는 상기 연마액의 pH변화에 기초해서 이루어지는 것을 특징으로 하는 반도체 장치의 제조 방법.
  19. 제13항에 있어서, 상기 용존 오존 수용액은 오존 농도가 0.1내지 25ppm인 것을 특징으로 하는 반도체 장치의 제조 방법.
  20. 제13항에 있어서, 상기 희불산 수용액은 불산 농도가 0.05내지 20%인 것을 특징으로 하는 반도체 장치의 제조 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940033879A 1993-12-14 1994-12-13 동계 금속용 연마액 및 반도체 장치의 제조 방법 KR0165145B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP93-313406 1993-12-14
JP31340693 1993-12-14

Publications (2)

Publication Number Publication Date
KR950018380A true KR950018380A (ko) 1995-07-22
KR0165145B1 KR0165145B1 (ko) 1999-01-15

Family

ID=18040897

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940033879A KR0165145B1 (ko) 1993-12-14 1994-12-13 동계 금속용 연마액 및 반도체 장치의 제조 방법

Country Status (4)

Country Link
US (5) US5575885A (ko)
EP (1) EP0659858B1 (ko)
KR (1) KR0165145B1 (ko)
DE (1) DE69425812T2 (ko)

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
JP3397501B2 (ja) * 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5928127A (en) * 1995-04-03 1999-07-27 Asahi Glass Company Ltd. Alumina sol and recording sheet
US6046110A (en) * 1995-06-08 2000-04-04 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing a semiconductor device
JPH0982668A (ja) * 1995-09-20 1997-03-28 Sony Corp 研磨用スラリー及びこの研磨用スラリーを用いる研磨方法
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
JP3507628B2 (ja) * 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物
US5972792A (en) * 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
US6095161A (en) * 1997-01-17 2000-08-01 Micron Technology, Inc. Processing and post-processing compositions and methods of using same
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
EP1655769A3 (en) * 1997-06-06 2006-05-31 Koninklijke Philips Electronics N.V. Method of cleaning a semiconductor device and cleaning agent for this purpose
US5930586A (en) * 1997-07-03 1999-07-27 Motorola, Inc. Method and apparatus for in-line measuring backside wafer-level contamination of a semiconductor wafer
US20090255189A1 (en) * 1998-08-19 2009-10-15 Nanogram Corporation Aluminum oxide particles
US20040229468A1 (en) * 1997-10-31 2004-11-18 Seiichi Kondo Polishing method
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6096652A (en) * 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
JPH11162910A (ja) * 1997-11-25 1999-06-18 Sumitomo Chem Co Ltd 半導体装置製造用研磨剤及び研磨方法
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
JP2002511650A (ja) * 1998-04-10 2002-04-16 フェロー コーポレイション 化学的−機械的金属表面研磨用スラリ
KR100261170B1 (ko) * 1998-05-06 2000-07-01 김영환 반도체소자 및 그 제조방법
TW384525B (en) * 1998-06-17 2000-03-11 United Microelectronics Corp Manufacturing method for self-aligned contacts
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6220934B1 (en) 1998-07-23 2001-04-24 Micron Technology, Inc. Method for controlling pH during planarization and cleaning of microelectronic substrates
FR2781922B1 (fr) * 1998-07-31 2001-11-23 Clariant France Sa Procede de polissage mecano-chimique d'une couche en un materiau a base de cuivre
US6071783A (en) * 1998-08-13 2000-06-06 Taiwan Semiconductor Manufacturing Company Pseudo silicon on insulator MOSFET device
TW416104B (en) * 1998-08-28 2000-12-21 Kobe Steel Ltd Method for reclaiming wafer substrate and polishing solution composition for reclaiming wafer substrate
KR100491465B1 (ko) * 1998-08-31 2005-05-25 히다치 가세고교 가부시끼가이샤 금속용 연마액 및 연마 방법
JP2000183003A (ja) * 1998-10-07 2000-06-30 Toshiba Corp 銅系金属用研磨組成物および半導体装置の製造方法
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
JP2000228391A (ja) * 1998-11-30 2000-08-15 Canon Inc 半導体基板の精密研磨方法および装置
JP2000160139A (ja) * 1998-12-01 2000-06-13 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
EP1150341A4 (en) * 1998-12-28 2005-06-08 Hitachi Chemical Co Ltd MATERIALS FOR METAL POLLING LIQUID, METAL POLISHING LIQUID, THEIR PRODUCTION AND POLISHING METHOD
JP3033574B1 (ja) * 1999-02-15 2000-04-17 日本電気株式会社 研磨方法
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6589872B1 (en) * 1999-05-03 2003-07-08 Taiwan Semiconductor Manufacturing Company Use of low-high slurry flow to eliminate copper line damages
US6375693B1 (en) 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
KR100647968B1 (ko) * 1999-07-22 2006-11-17 코닝 인코포레이티드 극 자외선 소프트 x-선 투사 리소그라피 방법 및 마스크디바이스
CN1107097C (zh) * 1999-07-28 2003-04-30 长兴化学工业股份有限公司 化学机械研磨组合物及方法
IL147235A0 (en) 1999-08-13 2002-08-14 Cabot Microelectronics Corp Chemical mechanical polishing systems and methods for their use
JP4264781B2 (ja) * 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
US6435944B1 (en) 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US6491843B1 (en) * 1999-12-08 2002-12-10 Eastman Kodak Company Slurry for chemical mechanical polishing silicon dioxide
US6468910B1 (en) * 1999-12-08 2002-10-22 Ramanathan Srinivasan Slurry for chemical mechanical polishing silicon dioxide
TW490718B (en) * 2000-01-25 2002-06-11 Toshiba Corp Semiconductor device and the manufacturing method thereof
KR100504359B1 (ko) * 2000-02-04 2005-07-28 쇼와 덴코 가부시키가이샤 Lsi 디바이스 연마용 조성물 및 lsi 디바이스의제조 방법
US6355075B1 (en) 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
SG122739A1 (en) * 2000-03-03 2006-06-29 Chartered Semiconductor Mfg Improved chemical agent additives in copper cmp slurry
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
JP4435391B2 (ja) * 2000-08-04 2010-03-17 扶桑化学工業株式会社 コロイド状シリカスラリー
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7094131B2 (en) 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US6551935B1 (en) 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US7192335B2 (en) 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7220166B2 (en) 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7153410B2 (en) 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US7112121B2 (en) 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7129160B2 (en) 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7134934B2 (en) 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7078308B2 (en) 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7160176B2 (en) 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7074113B1 (en) 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US6867448B1 (en) 2000-08-31 2005-03-15 Micron Technology, Inc. Electro-mechanically polished structure
US6468137B1 (en) 2000-09-07 2002-10-22 Cabot Microelectronics Corporation Method for polishing a memory or rigid disk with an oxidized halide-containing polishing system
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
JP2002164307A (ja) 2000-11-24 2002-06-07 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
WO2002061810A1 (en) * 2001-01-16 2002-08-08 Cabot Microelectronics Corporation Ammonium oxalate-containing polishing system and method
JP2002231666A (ja) * 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US7232752B2 (en) * 2001-04-24 2007-06-19 United Microelectronics Corp. Method of removing contaminants from a silicon wafer after chemical-mechanical polishing operation
TW479289B (en) * 2001-04-24 2002-03-11 United Microelectronics Corp Method to remove contaminant on wafer surface after chemical mechanical polishing
US6486049B2 (en) * 2001-04-30 2002-11-26 Motorola, Inc. Method of fabricating semiconductor devices with contact studs formed without major polishing defects
WO2002089907A1 (en) * 2001-05-07 2002-11-14 Cochlear Limited Process for manufacturing electrically conductive components
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
SG144688A1 (en) 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
TW591089B (en) * 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US6953389B2 (en) * 2001-08-09 2005-10-11 Cheil Industries, Inc. Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
US20030063271A1 (en) * 2001-08-17 2003-04-03 Nicholes Mary Kristin Sampling and measurement system with multiple slurry chemical manifold
JP3899456B2 (ja) 2001-10-19 2007-03-28 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US6884723B2 (en) * 2001-12-21 2005-04-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using complexing agents
US6730592B2 (en) * 2001-12-21 2004-05-04 Micron Technology, Inc. Methods for planarization of metal-containing surfaces using halogens and halide salts
US7121926B2 (en) 2001-12-21 2006-10-17 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using a fixed abrasive article
US20030119316A1 (en) * 2001-12-21 2003-06-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using oxidizing agents
US7049237B2 (en) * 2001-12-21 2006-05-23 Micron Technology, Inc. Methods for planarization of Group VIII metal-containing surfaces using oxidizing gases
JP2003257910A (ja) * 2001-12-28 2003-09-12 Fujikoshi Mach Corp 基板における銅層の研磨方法
WO2003094216A1 (fr) 2002-04-30 2003-11-13 Hitachi Chemical Co., Ltd. Fluide de polissage et procede de polissage
KR20040000009A (ko) * 2002-06-19 2004-01-03 주식회사 하이닉스반도체 플라티늄-cmp용 용액
JP4083502B2 (ja) * 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US20040092102A1 (en) * 2002-11-12 2004-05-13 Sachem, Inc. Chemical mechanical polishing composition and method
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
DE10313517B4 (de) * 2003-03-25 2006-03-30 Atotech Deutschland Gmbh Lösung zum Ätzen von Kupfer, Verfahren zum Vorbehandeln einer Schicht aus Kupfer sowie Anwendung des Verfahrens
US7112122B2 (en) 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
ATE463838T1 (de) * 2003-09-30 2010-04-15 Fujimi Inc Polierzusammensetzung und polierverfahren
US7485162B2 (en) * 2003-09-30 2009-02-03 Fujimi Incorporated Polishing composition
KR101009875B1 (ko) * 2003-12-26 2011-01-19 삼성전자주식회사 이동 통신 시스템에서 역방향 전송률 제어 방법 및 장치
US7153777B2 (en) 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
JP2005268666A (ja) * 2004-03-19 2005-09-29 Fujimi Inc 研磨用組成物
JP2005268664A (ja) * 2004-03-19 2005-09-29 Fujimi Inc 研磨用組成物
JP4316406B2 (ja) * 2004-03-22 2009-08-19 株式会社フジミインコーポレーテッド 研磨用組成物
JP4644434B2 (ja) * 2004-03-24 2011-03-02 株式会社フジミインコーポレーテッド 研磨用組成物
US7566391B2 (en) 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US20070037892A1 (en) * 2004-09-08 2007-02-15 Irina Belov Aqueous slurry containing metallate-modified silica particles
JP2006086462A (ja) * 2004-09-17 2006-03-30 Fujimi Inc 研磨用組成物およびそれを用いた配線構造体の製造法
JP2006135072A (ja) * 2004-11-05 2006-05-25 Fujimi Inc 研磨方法
US7086935B2 (en) * 2004-11-24 2006-08-08 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cellulose-containing polishing compositions and methods relating thereto
US7446046B2 (en) * 2005-01-06 2008-11-04 Intel Corporation Selective polish for fabricating electronic devices
US20060163206A1 (en) * 2005-01-25 2006-07-27 Irina Belov Novel polishing slurries and abrasive-free solutions having a multifunctional activator
CN100536081C (zh) * 2005-09-02 2009-09-02 福吉米株式会社 抛光组合物
JP5026710B2 (ja) * 2005-09-02 2012-09-19 株式会社フジミインコーポレーテッド 研磨用組成物
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
US20070218692A1 (en) * 2006-01-31 2007-09-20 Nissan Chemical Industries, Ltd. Copper-based metal polishing compositions and polishing processes
SG139699A1 (en) * 2006-08-02 2008-02-29 Fujimi Inc Polishing composition and polishing process
US20080148652A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Compositions for chemical mechanical planarization of copper
JP2008277723A (ja) * 2007-03-30 2008-11-13 Fujifilm Corp 金属用研磨液及び研磨方法
JP2009123880A (ja) * 2007-11-14 2009-06-04 Showa Denko Kk 研磨組成物
JP2009164188A (ja) * 2007-12-28 2009-07-23 Fujimi Inc 研磨用組成物
JP2009164186A (ja) * 2007-12-28 2009-07-23 Fujimi Inc 研磨用組成物
US8506661B2 (en) * 2008-10-24 2013-08-13 Air Products & Chemicals, Inc. Polishing slurry for copper films
KR101400585B1 (ko) * 2009-02-16 2014-05-27 히타치가세이가부시끼가이샤 구리 연마용 연마제 및 이를 이용한 연마 방법
WO2010092865A1 (ja) 2009-02-16 2010-08-19 日立化成工業株式会社 研磨剤及び研磨方法
JP5587620B2 (ja) * 2010-01-25 2014-09-10 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
TWI547552B (zh) * 2012-03-19 2016-09-01 福吉米股份有限公司 硏光加工用硏磨材及使用此之基板的製造方法
CN103515295B (zh) * 2012-06-26 2015-09-02 中芯国际集成电路制造(上海)有限公司 通孔的处理方法
CN105154680B (zh) * 2015-10-30 2017-09-19 上海第二工业大学 一种从废旧印制线路板表面选择性剥离金属金的方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3779842A (en) * 1972-04-21 1973-12-18 Macdermid Inc Method of and composition for dissolving metallic copper
US3957553A (en) * 1972-08-09 1976-05-18 Pennwalt Corporation Non-chromated alkaline etching bath and etching process for aluminum
US3915811A (en) * 1974-10-16 1975-10-28 Oxy Metal Industries Corp Method and composition for electroplating aluminum alloys
SE400581B (sv) 1974-12-13 1978-04-03 Nordnero Ab Bad for kemisk polering av koppar och dess legeringar
US4349411A (en) * 1981-10-05 1982-09-14 Bell Telephone Laboratories, Incorporated Etch procedure for aluminum alloy
JPS61591A (ja) 1984-06-13 1986-01-06 Fujitsu Ltd 銅のエツチング方法
US4556449A (en) * 1984-10-15 1985-12-03 Psi Star Nickel etching process and solution
US4917826A (en) * 1985-10-18 1990-04-17 The Upjohn Company Cyclic hydrocarbons with an aminoalkyl sidechain
US4859281A (en) * 1987-06-04 1989-08-22 Pennwalt Corporation Etching of copper and copper bearing alloys
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
EP0325232B1 (en) * 1988-01-19 1996-09-11 Fujimi Incorporated Polishing composition
FR2634498B1 (fr) * 1988-07-20 1993-10-08 Organisation Europ Recherc Nucle Bain de polissage chimique de metaux et alliages de metaux
US4954142A (en) * 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
JPH0375386A (ja) * 1989-08-18 1991-03-29 Metsuku Kk 錫又は錫‐鉛合金の剥離方法
JPH04345695A (ja) * 1991-05-24 1992-12-01 Kao Corp 液体漂白剤組成物
US5227016A (en) * 1992-02-25 1993-07-13 Henkel Corporation Process and composition for desmutting surfaces of aluminum and its alloys
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5354712A (en) * 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
JP3397501B2 (ja) * 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US6046110A (en) * 1995-06-08 2000-04-04 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing a semiconductor device
JP2000183003A (ja) * 1998-10-07 2000-06-30 Toshiba Corp 銅系金属用研磨組成物および半導体装置の製造方法

Also Published As

Publication number Publication date
KR0165145B1 (ko) 1999-01-15
US20050199589A1 (en) 2005-09-15
EP0659858B1 (en) 2000-09-06
US20030036267A1 (en) 2003-02-20
EP0659858A3 (en) 1997-01-08
DE69425812T2 (de) 2001-02-08
DE69425812D1 (de) 2000-10-12
US20070105376A1 (en) 2007-05-10
US5575885A (en) 1996-11-19
USRE37786E1 (en) 2002-07-09
EP0659858A2 (en) 1995-06-28

Similar Documents

Publication Publication Date Title
KR950018380A (ko) 동계 금속용 연마액 및 반도체 장치의 제조 방법
TWI290740B (en) Polishing compound for chemical-mechanical polishing and polishing method
KR960005828A (ko) 연마제 및 그것을 이용한 연마 방법
EP1137056B1 (en) Abrasive liquid for metal and method for polishing
KR970003592A (ko) 동계 금속용 연마액 및 반도체장치의 제조방법
EP1168422A3 (en) Method and apparatus for liquid-treating and drying a substrate
JPS58501281A (ja) 腐食防止剤被覆した銅を含む物品と被覆をする方法
JP2005518090A5 (ko)
TW373262B (en) Method for cleaning metal film stuck inside film treating device
JP2008169446A (ja) アルミニウム酸化皮膜用除去液及びアルミニウム又はアルミニウム合金の表面処理方法
TW200910438A (en) Thermal methods for cleaning post-CMP wafers
US5320737A (en) Treatment to reduce solder plating whisker formation
JPH07233485A (ja) 銅系金属用研磨液および半導体装置の製造方法
TWI244687B (en) A method for simultaneously cleaning and annealing a metallic layer plated on a workpiece having an insulating substrate, a method for cleaning and annealing a plated workpiece, a method for altering the grain size and the texture of a metallic layer...
JPH1140526A (ja) 配線形成方法及び半導体装置の製造方法
JP3857314B2 (ja) シリコン乾燥方法
US5707421A (en) Process for the inhibition of leaching of lead from brass alloy plumbing fixtures
JP4535232B2 (ja) チタンまたはチタン合金のエッチング液
JP4327763B2 (ja) 銅系金属用研磨液および銅系金属の研磨方法
JPS6353266B2 (ko)
JP4713767B2 (ja) 洗浄液および半導体装置の製造方法
CN114540816A (zh) 一种厚铜蚀刻组合物及其应用
DE60101891D1 (de) Chemische Oberflächenbehandlung für flüssige Spiegel aus Gallium oder Galliumlegierungen
JP2007141888A (ja) ポリイミド膜除去用洗浄液および洗浄方法
JP2858822B2 (ja) 治工具に付着したタングステン被膜の除去方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20120821

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20130820

Year of fee payment: 16

EXPY Expiration of term