KR970003592A - 동계 금속용 연마액 및 반도체장치의 제조방법 - Google Patents

동계 금속용 연마액 및 반도체장치의 제조방법 Download PDF

Info

Publication number
KR970003592A
KR970003592A KR1019960020720A KR19960020720A KR970003592A KR 970003592 A KR970003592 A KR 970003592A KR 1019960020720 A KR1019960020720 A KR 1019960020720A KR 19960020720 A KR19960020720 A KR 19960020720A KR 970003592 A KR970003592 A KR 970003592A
Authority
KR
South Korea
Prior art keywords
copper
polishing liquid
abrasive
semiconductor device
manufacturing
Prior art date
Application number
KR1019960020720A
Other languages
English (en)
Other versions
KR100214749B1 (ko
Inventor
히데아키 히라바야시
나오아키 사쿠라이
Original Assignee
사토 후미오
가부시키가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 사토 후미오, 가부시키가이샤 도시바 filed Critical 사토 후미오
Publication of KR970003592A publication Critical patent/KR970003592A/ko
Application granted granted Critical
Publication of KR100214749B1 publication Critical patent/KR100214749B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

본 발명은 동계 금속용 연마액 및 반도체장치의 제조방법에 관한 것으로서, 동계 금속용 연마액은 동과 반응하여 물에 난용성이고, 동시에 동 보다도 기계적으로 위약한 동착체를 생성하는 수용성 유기산, 연마산숫돌가루 및 물을 함유하고, 동(Cu) 또는 동 합금(Cu합금)의 침지시에 있어서 상기 Cu 등을 전혀 용해하지 않고, 동시에 연마처리시에 상기 Cu 또는 Cu합금을 실용적인 속도로 연마하는 것이 가능한 것을 특징으로 한다.

Description

동계 금속용 연마액 및 반도체장치의 제조방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제2도는 본 발명의 연마액 및 연마숫돌가루만을 포함하는 종래의 연마액을 이용하여 기판 위에 형성된 Cu막을 연마처리했을 때의 연마속도(가공속도)를 상기 연마액의 온도와의 관계로 나타낸 특성도, 제9A도 ~ 제9C도는 본 발명의 실시예 1에 있어서 반도체장치의 제조공정을 나타낸 단면도.

Claims (31)

  1. 동과 반응하여 물에 난용성이고, 동시에 동 보다도 기계적으로 위약한 동착제를 생성하는 수용성의 유기산, 연마숫돌가루 및 물을 함유하는 것을 특징으로 하는 동계 금속용 연마액(copper - based metal polishing solution).
  2. 제1항에 있어서, 상기 유기산은 2 - 키놀린카르본산인 것을 특징으로 하는 동계 금속용 연마액.
  3. 제1항에 있어서, 상기 유기산은 상기 연마액중에 0.1중량% 이상 함유된 것을 특징으로 하는 동계 금속용 연마액.
  4. 제1항에 있어서, 상기 유기산은 상기 연마액중에 0.3 ~ 1.2중량% 이상 함유된 것을 특징으로 하는 동계 금속용 연마액.
  5. 제1항에 있어서, 상기 연마숫돌가루는 실리카, 질코니아, 산화세륨 및 알루미나에서 선택되는 적어도 1개의 재료로 만들어진 것을 특징으로 하는 동계 금속용 연마액.
  6. 제1항에 있어서, 상기 연마숫돌가루는 0.02 ~ 0.1㎛의 평균 입자직경을 가진 것을 특징으로 하는 동계 금속용 연마액.
  7. 제1항에 있어서, 상기 연마숫돌가루는 상기 연마액중에 1 ~ 20중량% 함유된 것을 특징으로 하는 동계 금속용 연마액.
  8. 제1항에 있어서, 또한 동착체 생성 촉진제를 함유하는 것을 특징으로 하는 동계 금속용 연마제.
  9. 제1항에 있어서, 상기 동착제 생성 촉진제는 산화제인 것을 특징으로 하는 동계 금속용 연마제.
  10. 제9항에 있어서, 상기 산화제는 과산화수소인 것을 특징으로 하는 동계 금속용 연마제.
  11. 제9항에 있어서, 상기 유기산에 대한 산화제의 함유비율은 중량비율로 10배 이상인 것을 특징으로 하는 동계 금속용 연마제.
  12. 제1항에 있어서, 또한 계면활성제를 함유하는 것을 특징으로 하는 동계 금속용 연마제.
  13. 제12항에 있어서, 상기 계면활성제는 음이온성 계면활성제인 황산도테실 나트륨인 것을 특징으로 하는 동계 금속용 연마제.
  14. 제12항에 있어서, 상기 계면활성제는 상기 연마액중에 1몰/리터 이상 첨가된 것을 특징으로 하는 동계 금속용 연마제.
  15. 반도체기판 위에 절연막에 배선층의 형상에 해당되는 홈 및 개구부에서 선택되는 적어도 한개의 부재를 형성하는 공정과, 상기 홈 및 개구부에서 선택되는 적어도 한개의 부재를 포함하는 상기 절연막위에 동 또는 동합금으로 이루어진 배선재료막을 퇴적하는 공정과, 동과 반응하여 물에 난용성이고, 동시에 동 보다도 기계적으로 위약한 동착체를 생성하는 수용성의 유기산, 연마숫돌가루 및 물을 함유하는 연마액을 이용하여 상기 배선재료막을 상기 절연막의 표면이 노출될 때까지 연마처리함으로써 상기 배선재료막을 상기 절연막에 그 표면과 면이 일치된 메워지는 배선층을 형성하는 공정을 구비하는 것을 특징으로 하는 반도체장치의 제조방법.
  16. 제15항에 있어서, 상기 홈 및 개구부에서 선택되는 적어도 한개의 부재를 형성하기 전에 절연막위에 장벽층을 피복하는 것을 특징으로 하는 반도체장치의 제조방법.
  17. 제16항에 있어서, 상기 장벽층은 TiN, Ti, Nb, W 또는 CuTa 합금에서 선택되는 재료로 만들어진 것을 특징으로 하는 반도체장치의 제조방법.
  18. 제15항에 있어서, 상기 동합금은 Cu - Si합금, Cu - Al합금, Cu, Si, Al합금 및 Cu, Ag합금으로 이루어진 군에서 선택되는 재료인 것을 특징으로 하는 반도체장치의 제조방법.
  19. 제15항에 있어서, 상기 연마액중의 상기 유기산은 2 - 키놀린카르본산인 것을 특징으로 하는 반도체장치의 제조방법.
  20. 제15항에 있어서, 상기 유기산은 상기 연마액중에 0.1중량% 이상 함유되는 것을 특징으로 하는 반도체장치의 제조방법.
  21. 제15항에 있어서, 상기 유기산은 상기 연마액중에 0.3 ~ 1.2중량% 함유되는 것을 특징으로 하는 반도체장치의 제조방법.
  22. 제15항에 있어서, 상기 연마액중의 상기 연마숫돌가루는 실리카, 질코니아, 산화세륨 및 알루미나에서 선택되는 적어도 1개의 재료로 만들어진 것을 특징으로 하는 반도체장치의 제조방법.
  23. 제15항에 있어서, 상기 연마액중의 상기 연마숫돌가루는 0.02 ~ 0.1㎛의 평균 입자직경을 가진 것을 특징으로 하는 반도체장치의 제조방법.
  24. 제15항에 있어서, 상기 연마숫돌가루는 상기 연마액중에 1 ~ 20중량% 함유되는 것을 특징으로 하는 반도체장치의 제조방법.
  25. 제15항에 있어서, 상기 연마액중에는 또한 동착제 생성 촉진제를 함유하는 것을 특징으로 하는 반도체장치의 제조방법.
  26. 제25항에 있어서, 상기 동착제 생성 촉진제는 산화제인 것을 특징으로 하는 반도체장치의 제조방법.
  27. 제26항에 있어서, 상기 산화제는 과산화수소인 것을 특징으로 하는 반도체장치의 제조방법.
  28. 제26항에 있어서, 상기 산화제는 과산화수소인 것을 특징으로 하는 반도체장치의 제조방법.
  29. 제15항에 있어서, 상기 연마액중에는 또한 계면활성제를 함유하는 것을 특징으로 하는 반도체장치의 제조방법.
  30. 제29항에 있어서, 상기 계면활성제는 음이온성 계면활성제인 황산도데실 나트륨인 것을 특징으로 하는 반도체장치의 제조방법.
  31. 제29항에 있어서, 상기 계면활성제는 상기 연마액중에 1몰/리터 이상 첨가된 것을 특징으로 하는 반도체장치의 제조방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019960020720A 1995-06-08 1996-06-07 동계 금속용 연마액 및 반도체장치의 제조방법 KR100214749B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP14204595 1995-06-08
JP95-142045 1995-06-08

Publications (2)

Publication Number Publication Date
KR970003592A true KR970003592A (ko) 1997-01-28
KR100214749B1 KR100214749B1 (ko) 1999-08-02

Family

ID=15306119

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960020720A KR100214749B1 (ko) 1995-06-08 1996-06-07 동계 금속용 연마액 및 반도체장치의 제조방법

Country Status (4)

Country Link
US (2) US6046110A (ko)
EP (1) EP0747939B1 (ko)
KR (1) KR100214749B1 (ko)
DE (1) DE69623183T2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101350419B1 (ko) * 2013-09-27 2014-01-16 재단법인차세대융합기술연구원 변속 장치

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
US5693239A (en) * 1995-10-10 1997-12-02 Rodel, Inc. Polishing slurries comprising two abrasive components and methods for their use
JP3507628B2 (ja) * 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物
US5738800A (en) * 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
US5756398A (en) * 1997-03-17 1998-05-26 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
JPH11162910A (ja) * 1997-11-25 1999-06-18 Sumitomo Chem Co Ltd 半導体装置製造用研磨剤及び研磨方法
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6693035B1 (en) * 1998-10-20 2004-02-17 Rodel Holdings, Inc. Methods to control film removal rates for improved polishing in metal CMP
FR2781922B1 (fr) * 1998-07-31 2001-11-23 Clariant France Sa Procede de polissage mecano-chimique d'une couche en un materiau a base de cuivre
US6572453B1 (en) * 1998-09-29 2003-06-03 Applied Materials, Inc. Multi-fluid polishing process
JP2000183003A (ja) 1998-10-07 2000-06-30 Toshiba Corp 銅系金属用研磨組成物および半導体装置の製造方法
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
FR2785614B1 (fr) * 1998-11-09 2001-01-26 Clariant France Sa Nouveau procede de polissage mecano-chimique selectif entre une couche d'oxyde de silicium et une couche de nitrure de silicium
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) * 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
SG73683A1 (en) * 1998-11-24 2000-06-20 Texas Instruments Inc Stabilized slurry compositions
JP2000228391A (ja) * 1998-11-30 2000-08-15 Canon Inc 半導体基板の精密研磨方法および装置
JP2000160139A (ja) * 1998-12-01 2000-06-13 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6238592B1 (en) 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
JP4264781B2 (ja) 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
JP4075247B2 (ja) * 1999-09-30 2008-04-16 Jsr株式会社 化学機械研磨用水系分散体
US6435944B1 (en) 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
TW572980B (en) 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6355075B1 (en) 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
JP3837277B2 (ja) * 2000-06-30 2006-10-25 株式会社東芝 銅の研磨に用いる化学機械研磨用水系分散体及び化学機械研磨方法
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US20040092103A1 (en) * 2000-07-19 2004-05-13 Shigeo Fujii Polishing fluid composition
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
JP2002164307A (ja) 2000-11-24 2002-06-07 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6627550B2 (en) * 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
EP1385915A1 (en) * 2001-04-12 2004-02-04 Rodel Holdings, Inc. Polishing composition having a surfactant
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
WO2003005431A1 (fr) * 2001-07-04 2003-01-16 Seimi Chemical Co., Ltd. Suspension de polissage chimico-mecanique destinee a un circuit integre a semi-conducteurs, procede de polissage et circuit integre a semi-conducteurs
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US6511906B1 (en) * 2001-08-30 2003-01-28 Micron Technology, Inc. Selective CMP scheme
CN101058713B (zh) * 2001-10-31 2011-02-09 日立化成工业株式会社 研磨液及研磨方法
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US7098143B2 (en) * 2003-04-25 2006-08-29 Texas Instruments Incorporated Etching method using an at least semi-solid media
DE602004007718T2 (de) * 2003-05-12 2008-04-30 Jsr Corp. Chemisch-mechanisches Poliermittel-Kit und chemisch-mechanisches Polierverfahren unter Verwendung desselben
JP2007514553A (ja) * 2003-11-26 2007-06-07 スリーエム イノベイティブ プロパティズ カンパニー 工作物の研磨方法
JP2005268665A (ja) * 2004-03-19 2005-09-29 Fujimi Inc 研磨用組成物
JP2005268666A (ja) * 2004-03-19 2005-09-29 Fujimi Inc 研磨用組成物
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
JP4954462B2 (ja) * 2004-10-19 2012-06-13 株式会社フジミインコーポレーテッド 窒化シリコン膜選択的研磨用組成物およびそれを用いる研磨方法
US20060163083A1 (en) * 2005-01-21 2006-07-27 International Business Machines Corporation Method and composition for electro-chemical-mechanical polishing
WO2006112519A1 (ja) * 2005-04-14 2006-10-26 Showa Denko K.K. 研磨組成物
US7955519B2 (en) * 2005-09-30 2011-06-07 Cabot Microelectronics Corporation Composition and method for planarizing surfaces
WO2007094869A2 (en) * 2005-10-31 2007-08-23 Applied Materials, Inc. Electrochemical method for ecmp polishing pad conditioning
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
WO2008013226A1 (fr) * 2006-07-28 2008-01-31 Showa Denko K.K. Composition de polissage
JP5594273B2 (ja) * 2011-10-27 2014-09-24 信越半導体株式会社 スラリー及びスラリーの製造方法
WO2013157442A1 (ja) * 2012-04-18 2013-10-24 株式会社フジミインコーポレーテッド 研磨用組成物
EP3674442A1 (en) 2018-12-24 2020-07-01 IMEC vzw Etching using an electrolyzed chloride solution
KR102367056B1 (ko) * 2020-02-27 2022-02-25 주식회사 케이씨텍 화학적 기계적 연마용 슬러리 조성물

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1430368A (en) * 1972-08-04 1976-03-31 Ici Ltd Quinoline-2-carboxylic acids and their use in the extraction of metals
SE400581B (sv) * 1974-12-13 1978-04-03 Nordnero Ab Bad for kemisk polering av koppar och dess legeringar
JPS6047909B2 (ja) * 1981-10-09 1985-10-24 株式会社チップトン 化学研磨併用のバレル研磨法
SE8206377A0 (sv) * 1982-11-10 1984-05-11 Madan Khorshed Nya kelatbildande biskinolinföreningar
SE8206378L (sv) * 1982-11-10 1984-05-11 Sverker Hogberg Nya kelatbildande kinolinforeningar
JPS6156285A (ja) * 1984-07-25 1986-03-20 Toshiba Battery Co Ltd アルカリ電池
JPS6156286A (ja) * 1984-07-25 1986-03-20 Toshiba Battery Co Ltd アルカリ電池
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
JPH0284485A (ja) * 1988-09-20 1990-03-26 Showa Denko Kk アルミニウム磁気ディスク研磨用組成物
US4954142A (en) * 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5084071A (en) * 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
JPH0781132B2 (ja) * 1990-08-29 1995-08-30 株式会社フジミインコーポレーテッド 研磨剤組成物
US5244534A (en) * 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
US5264010A (en) * 1992-04-27 1993-11-23 Rodel, Inc. Compositions and methods for polishing and planarizing surfaces
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5209816A (en) * 1992-06-04 1993-05-11 Micron Technology, Inc. Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
JP3556978B2 (ja) * 1993-12-14 2004-08-25 株式会社東芝 銅系金属の研磨方法
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5693239A (en) * 1995-10-10 1997-12-02 Rodel, Inc. Polishing slurries comprising two abrasive components and methods for their use
EP0786504A3 (en) * 1996-01-29 1998-05-20 Fujimi Incorporated Polishing composition
JP3458036B2 (ja) * 1996-03-05 2003-10-20 メック株式会社 銅および銅合金のマイクロエッチング剤
US5858813A (en) 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
JP3507628B2 (ja) * 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101350419B1 (ko) * 2013-09-27 2014-01-16 재단법인차세대융합기술연구원 변속 장치

Also Published As

Publication number Publication date
KR100214749B1 (ko) 1999-08-02
US6046110A (en) 2000-04-04
EP0747939A2 (en) 1996-12-11
US6521574B1 (en) 2003-02-18
DE69623183D1 (de) 2002-10-02
EP0747939B1 (en) 2002-08-28
DE69623183T2 (de) 2003-05-08
EP0747939A3 (en) 1998-10-14

Similar Documents

Publication Publication Date Title
KR970003592A (ko) 동계 금속용 연마액 및 반도체장치의 제조방법
KR0165145B1 (ko) 동계 금속용 연마액 및 반도체 장치의 제조 방법
CN1329467C (zh) 用于铜膜平面化的钝化化学机械抛光组合物
US6632377B1 (en) Chemical-mechanical planarization of metallurgy
JP3692067B2 (ja) 銅のcmp用研磨スラリーおよびそれを用いた半導体装置の製造方法
EP0852615B1 (en) Chemical mechanical polishing composition and process
KR100357894B1 (ko) 구리를 기초로 한 금속 연마 조성물 및 반도체장치의 제조방법
US20110027994A1 (en) Polishing slurry for cmp
CA2431591A1 (en) Composition for chemical mechanical planarization of copper, tantalum and tantalum nitride
CN101351518A (zh) 连接到固体用以增强cmp配方的自由基形成活化剂
JP3192968B2 (ja) 銅系金属用研磨液および半導体装置の製造方法
EP1490897A1 (en) Tantalum barrier removal solution
US6110396A (en) Dual-valent rare earth additives to polishing slurries
KR20050085311A (ko) 구리의 화학 기계적 평탄화용 조성물 및 방법
US20010052587A1 (en) Chemical mechanical polishing slurry and method for polishing metal/oxide layers
CN1982393A (zh) 用来抛光半导体层的组合物
JPH07233485A (ja) 銅系金属用研磨液および半導体装置の製造方法
JP2001127019A (ja) 金属用研磨液及びそれを用いた基板の研磨方法
Sainio et al. Electrochemical effects in the chemical-mechanical polishing of copper for integrated circuits
KR20020075902A (ko) 연마 조성물
CN102477258A (zh) 一种化学机械抛光液
US20060138087A1 (en) Copper containing abrasive particles to modify reactivity and performance of copper CMP slurries
CN1294168A (zh) 金属布线的化学机械平面化
JP2002359223A (ja) 洗浄液
CN103214972A (zh) 用于使表面平坦化的组合物及方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130502

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20140421

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20150417

Year of fee payment: 17

EXPY Expiration of term