KR940022738A - 균일하고 반복 가능한 신속 열 프로세싱을 위한 반도체 웨이퍼 배면 제조 방법 - Google Patents

균일하고 반복 가능한 신속 열 프로세싱을 위한 반도체 웨이퍼 배면 제조 방법 Download PDF

Info

Publication number
KR940022738A
KR940022738A KR1019930002947A KR930002947A KR940022738A KR 940022738 A KR940022738 A KR 940022738A KR 1019930002947 A KR1019930002947 A KR 1019930002947A KR 930002947 A KR930002947 A KR 930002947A KR 940022738 A KR940022738 A KR 940022738A
Authority
KR
South Korea
Prior art keywords
wafer
backside
depositing
silicon nitride
stripping
Prior art date
Application number
KR1019930002947A
Other languages
English (en)
Inventor
엠. 모슬레히 메흐르다드
쿠에네 죤
벨로 리노
Original Assignee
윌리엄 이. 힐러
텍사스 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윌리엄 이. 힐러, 텍사스 인스트루먼츠 인코포레이티드 filed Critical 윌리엄 이. 힐러
Publication of KR940022738A publication Critical patent/KR940022738A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/974Substrate surface preparation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

집적 RTP를 기초로한 장치 프로세싱을 위한 적절한 웨이퍼 배면 구조물을 획득하기위한 소정의 프로세스 흐름이 제한된 본 발명에 제안된 웨이퍼 배면 상태는 다중 집적 단일 웨이퍼를 기초로한 집적 제조 프로세스 흐름과 신속 열 프로세싱(RTP) 사이들에 적용될 수 있다. 이들 배면 상태는 반복가능한 RTP 균일성과 정밀한 고온 구성 측정을 보장한다. 웨이퍼의 배면 주변에서 농후하게 도핑된 층을 사용하면, 실리콘의 저압 화학 증착과 같은 저온 및 저압 RTP를 기초로한 적외선 전송 및 반복가능한 RTP를 기초로 한 프로세스의 균일성을 보장한다. 도펀트의 과잉 확산 및 열 산화에 기인한 배면 산화물 성장을 방지하기 위해 2개의 배면층(산화물 및 질화물)이 사용된다. 또한, 전 프로세스 흐름을 통하여 배면 실리콘 질화물층은 균일한 배면 방사성을 보존시킨다. 이것을 실리콘 질화물의 산화 저항과 에칭 저항에 기인한 것이다. 설명된 배면 구조물은 집적 흐름을 통해 다른 장치의 제조 단계 RTP 동안의 방사성 변경/유동을 방지한다.

Description

균일하고 반복 가능한 신속 열 프로세싱을 위한 반도체 웨이퍼 배면 제조방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도 내지 제3도는 본 발명의 프로세싱 단계로부터 생성된 반도체 웨이퍼 구조물의 단면도.

Claims (15)

  1. 웨이퍼의 배면상에 실리콘 질화물의 최상부층을 형성하는 단계를 포함하는 것을 특징으로 하는 집적 장치 프로세싱을 위한 반도체 웨이퍼의 배면 제조 방법.
  2. 제1항에 있어서, 실리콘 이산화물의 중간 버퍼층이 상기 실리콘 질화물과 상기 웨이퍼 배면 사이에 배치되는 것을 특징으로 하는 방법.
  3. 제1항에 있어서, 상기 웨이퍼의 배면이 상기 반도체 웨이퍼를 통하여 적외선광 전송을 방지하기 위해 농후하게 도핑되는 것을 특징으로 하는 방법.
  4. 제1항에 있어서, 상기 웨이퍼 배면이 상기 반도체 웨이퍼를 통하여 적외선광 전송을 방지하기 위해 강하게 손상되는 것을 특징으로 하는 방법.
  5. 제1항에 있어서, 상기 반도체 웨이퍼가 여러번의 신속한 열 프로세싱 제조단계들을 사용하는 집적장치 제조 흐름에 사용되는 것을 특징으로 하는 방법.
  6. 제5항에 있어서, 상기 집적 장치 제조 흐름이 모든 단일 웨이퍼 프로세싱에 기초한 것을 특징으로 하는 방법.
  7. 제5항에 있어서, 상기 집적 장치 제조 흐름이 배치 및 단일 웨이퍼 프로세싱의 결합에 기초한 것을 특징으로 하는 방법.
  8. 제5항에 있어서, 배면 구조물 및 상기 반도체 웨이퍼의 순도가 각각의 신속한 열 프로세싱 단계 동안과 그 이전까지 보존되는 것을 특징으로 하는 방법.
  9. 제5항에 있어서, 상기 신속한 열 프로세싱 제조 단계가 실시간 웨이퍼 온도 측정 및 제어를 위해 고온 측정 센서를 이용하는 것을 특징으로 하는 방법.
  10. 집적 장치 프로세싱을 위한 반도체 웨이퍼 배면 제조 방법에 있어서, 웨이퍼의 전면상에 보호 산화물층을 침착하는 단계, 웨이퍼의 배면상에 밀봉 산화물층을 침착하는 단계, 웨이퍼의 전면과 배면상에 실리콘 질화물층을 침착하는 단계, 포토레지스트층으로 웨이퍼의 배면을 코팅하는 단계, 웨이퍼의 전면으로부터 질화물층을 스트립하는 단계, 웨이퍼로부터 포토레지스트층을 스트립하는 단계 및 웨이퍼의 전면으로부터 산화물층을 스트립하는 단계를 포함하는 것을 특징으로 하는 방법.
  11. 제10항에 있어서, 상기 에이퍼가 에피텍셜 웨이퍼인 것을 특징으로 하는 방법.
  12. 제10항에 있어서, 상기 웨이퍼가 비에피텍셜 웨이퍼인 것을 특징으로 하는 방법.
  13. 집적 장치 프로세싱을 위한 반도체 웨이퍼의 배면 제조 방법에 있어서, 상기 웨이퍼의 전면과 배면상에 실리콘 산화물층을 배치하는 단계, 상기 웨이퍼의 전면과 배면상에 실리콘 질화물층을 침착하는 단계, 상기 웨이퍼의 배면에 선정된 거리로 상기 웨이퍼보다 더 큰 도펀트 농도의 원자를 주입하는 단계, 상기 웨이퍼의 배면을 포토레지스트로 코팅하는 단계, 상기 전면 질화물층을 제거하는 단계, 상기 웨이퍼로부터 상기 전면 산화물을 스트립하는 단계, 상기 웨이퍼로부터 상기 포토레지스트를 스트립하는 단계 및 상기 웨이퍼를 어닐링하는 단계를 포함하는 것을 특징으로 하는 방법.
  14. 집적 장치 프로세싱을 위한 반도체 벌크 웨이퍼 배면 제조 방법에 있어서, 상기 웨이퍼 전면상에 산화물을 형성하는 단계, 상기 웨이퍼의 배면상에 도핑된 글래스를 침착하는 단계, 상기 웨이퍼 배면과 전면상에 실리콘 질화물을 침착하는 단계, 상기 웨이퍼 배면을 포토레지스트로 코팅하는 단계, 상기 전면으로부터 상기 실리콘 질화물을 에칭하는 단계, 상기 웨이퍼 전면상에 상기 산화물을 스트립하는 단계 및 상기 웨이퍼 배면으로부터 상기 포토레지스트를 스트립하는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 집적 장치 프로세싱을 위한 에피텍셜 반도체 웨이퍼 배면 제조 방법에 있어서, 상기 웨이퍼 전면과 배면상에 산화물층을 침착하는 단계, 상기 웨이퍼 배면과 전면상에 실리콘 질화물을 침착하는 단계, 상기 배면을 포토레지스트로 코팅하는 단계, 상기 웨이퍼로부터 상기 전면 질화물을 에칭하는 단계 및 상기 웨이퍼 배면으로부터 상기 포토레지스트를 스트립하는 단계를 포함하는 것을 특징으로 하는 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019930002947A 1991-12-31 1993-03-02 균일하고 반복 가능한 신속 열 프로세싱을 위한 반도체 웨이퍼 배면 제조 방법 KR940022738A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US81565391A 1991-12-31 1991-12-31
US07/845,118 US5296385A (en) 1991-12-31 1992-03-03 Conditioning of semiconductor wafers for uniform and repeatable rapid thermal processing
US845,118 1992-03-03

Publications (1)

Publication Number Publication Date
KR940022738A true KR940022738A (ko) 1994-10-21

Family

ID=25218420

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019930002947A KR940022738A (ko) 1991-12-31 1993-03-02 균일하고 반복 가능한 신속 열 프로세싱을 위한 반도체 웨이퍼 배면 제조 방법

Country Status (4)

Country Link
US (1) US5296385A (ko)
EP (1) EP0549995A3 (ko)
JP (1) JPH077005A (ko)
KR (1) KR940022738A (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3033412B2 (ja) * 1993-11-26 2000-04-17 株式会社デンソー 半導体装置の製造方法
US6334929B1 (en) * 1994-07-29 2002-01-01 Motorola, Inc. Plasma processing method
US5656510A (en) * 1994-11-22 1997-08-12 Lucent Technologies Inc. Method for manufacturing gate oxide capacitors including wafer backside dielectric and implantation electron flood gun current control
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JP3498431B2 (ja) * 1995-07-04 2004-02-16 株式会社デンソー 半導体装置の製造方法
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
EP0798765A3 (en) * 1996-03-28 1998-08-05 Shin-Etsu Handotai Company Limited Method of manufacturing a semiconductor wafer comprising a dopant evaporation preventive film on one main surface and an epitaxial layer on the other main surface
JP3491463B2 (ja) * 1996-08-19 2004-01-26 信越半導体株式会社 シリコン鏡面ウェーハの製造方法およびシリコンウェーハの加工装置
JP3454033B2 (ja) * 1996-08-19 2003-10-06 信越半導体株式会社 シリコンウェーハおよびその製造方法
US6017828A (en) * 1997-05-21 2000-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing backside polysilicon peeling in a 4T+2R SRAM process
US6284633B1 (en) * 1997-11-24 2001-09-04 Motorola Inc. Method for forming a tensile plasma enhanced nitride capping layer over a gate electrode
JP3754234B2 (ja) 1998-04-28 2006-03-08 インターナショナル・ビジネス・マシーンズ・コーポレーション ゲート構造側壁の酸化膜の形成方法
DE19915078A1 (de) 1999-04-01 2000-10-12 Siemens Ag Verfahren zur Prozessierung einer monokristallinen Halbleiterscheibe und teilweise prozessierte Halbleiterscheibe
US6245692B1 (en) * 1999-11-23 2001-06-12 Agere Systems Guardian Corp. Method to selectively heat semiconductor wafers
US6358821B1 (en) 2000-07-19 2002-03-19 Chartered Semiconductor Manufacturing Inc. Method of copper transport prevention by a sputtered gettering layer on backside of wafer
JP2002060055A (ja) 2000-08-18 2002-02-26 Fujitsu Ltd 搬送台車の固定装置
US6689668B1 (en) * 2000-08-31 2004-02-10 Samsung Austin Semiconductor, L.P. Methods to improve density and uniformity of hemispherical grain silicon layers
US6403455B1 (en) 2000-08-31 2002-06-11 Samsung Austin Semiconductor, L.P. Methods of fabricating a memory device
EP1355138A4 (en) * 2001-10-30 2005-07-20 Matsushita Electric Ind Co Ltd TEMPERATURE MEASUREMENT METHOD, THERMAL PROCESSING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
US6670283B2 (en) 2001-11-20 2003-12-30 International Business Machines Corporation Backside protection films
SG114574A1 (en) * 2002-09-25 2005-09-28 Siltronic Singapore Pte Ltd Two layer lto backside seal for a wafer
US7060622B2 (en) * 2002-09-27 2006-06-13 Oki Electric Industry Co., Ltd. Method of forming dummy wafer
KR100514172B1 (ko) * 2004-01-19 2005-09-09 삼성전자주식회사 반도체 소자 형성방법
US7205216B2 (en) * 2004-07-29 2007-04-17 International Business Machines Corporation Modification of electrical properties for semiconductor wafers
FR2880471B1 (fr) * 2004-12-31 2007-03-09 Altis Semiconductor Snc Procede de nettoyage d'un semiconducteur
WO2006082467A1 (en) * 2005-02-01 2006-08-10 S.O.I.Tec Silicon On Insulator Technologies Substrate for crystal growing a nitride semiconductor
KR100842674B1 (ko) * 2006-12-20 2008-06-30 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
FR2914488B1 (fr) * 2007-03-30 2010-08-27 Soitec Silicon On Insulator Substrat chauffage dope
JP5037241B2 (ja) * 2007-07-04 2012-09-26 スパンション エルエルシー 半導体装置の製造方法及び半導体装置の製造装置
FR2921749B1 (fr) * 2007-09-27 2014-08-29 Soitec Silicon On Insulator Procede de fabrication d'une structure comprenant un substrat et une couche deposee sur l'une de ses faces.
KR101102771B1 (ko) * 2008-12-24 2012-01-05 매그나칩 반도체 유한회사 에피텍셜 웨이퍼 및 그 제조방법
US8232114B2 (en) * 2009-01-27 2012-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. RTP spike annealing for semiconductor substrate dopant activation
US8404572B2 (en) * 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
CN102130036B (zh) * 2010-01-12 2013-06-19 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构制作方法
US8486814B2 (en) * 2011-07-21 2013-07-16 International Business Machines Corporation Wafer backside defectivity clean-up utilizing selective removal of substrate material
CN102522334A (zh) * 2011-12-15 2012-06-27 天津中环领先材料技术有限公司 采用高温氧化制程制备igbt用单晶硅晶圆背封材料的工艺
CN103523738B (zh) 2012-07-06 2016-07-06 无锡华润上华半导体有限公司 微机电系统薄片及其制备方法
EP2770442A3 (en) 2013-02-20 2014-09-17 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
CN104282549B (zh) * 2013-07-03 2018-12-04 无锡华润上华科技有限公司 一种背面结构的保护方法
US9275868B2 (en) 2013-07-19 2016-03-01 Globalfoundries Inc. Uniform roughness on backside of a wafer
US9129910B2 (en) 2013-09-20 2015-09-08 Globalfoundries Singapore Pte. Ltd. Wafer processing
US9153473B2 (en) * 2013-09-20 2015-10-06 Globalfoundries Singapore Pte. Ltd. Wafer processing
US9330988B1 (en) 2014-12-23 2016-05-03 International Business Machines Corporation Method of fine-tuning process controls during integrated circuit chip manufacturing based on substrate backside roughness
CN106158776A (zh) * 2015-04-17 2016-11-23 上海申和热磁电子有限公司 用于硅片的无去边复合背封层结构及其制造方法
DE112016005136T5 (de) 2015-11-09 2018-07-26 Applied Materials, Inc. Unterseitenverarbeitung
US11205575B2 (en) * 2019-04-24 2021-12-21 Texas Instruments Incorporated Method for stripping one or more layers from a semiconductor wafer

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5688322A (en) * 1979-12-20 1981-07-17 Sony Corp Processing method for semiconductor substrate
JPS5812331A (ja) * 1981-07-16 1983-01-24 Nec Corp 半導体装置の製造方法
US4662956A (en) * 1985-04-01 1987-05-05 Motorola, Inc. Method for prevention of autodoping of epitaxial layers
JPS6224631A (ja) * 1985-07-25 1987-02-02 Sony Corp 半導体装置の製造方法
US4687682A (en) * 1986-05-02 1987-08-18 American Telephone And Telegraph Company, At&T Technologies, Inc. Back sealing of silicon wafers
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4876211A (en) * 1988-08-09 1989-10-24 Hughes Aircraft Company Method for fabricating varactor diodes using ion implanation
US4956538A (en) * 1988-09-09 1990-09-11 Texas Instruments, Incorporated Method and apparatus for real-time wafer temperature measurement using infrared pyrometry in advanced lamp-heated rapid thermal processors
US4891499A (en) * 1988-09-09 1990-01-02 Texas Instruments Incorporated Method and apparatus for real-time wafer temperature uniformity control and slip-free heating in lamp heated single-wafer rapid thermal processing systems

Also Published As

Publication number Publication date
EP0549995A3 (en) 1993-07-28
US5296385A (en) 1994-03-22
EP0549995A2 (en) 1993-07-07
JPH077005A (ja) 1995-01-10

Similar Documents

Publication Publication Date Title
KR940022738A (ko) 균일하고 반복 가능한 신속 열 프로세싱을 위한 반도체 웨이퍼 배면 제조 방법
US7037856B1 (en) Method of fabricating a low-defect strained epitaxial germanium film on silicon
US5059543A (en) Method of manufacturing thermopile infrared detector
EP0202240B1 (en) Coating of iii-v and ii-vi compound semiconductors
US4662956A (en) Method for prevention of autodoping of epitaxial layers
US5250452A (en) Deposition of germanium thin films on silicon dioxide employing interposed polysilicon layer
US3716422A (en) Method of growing an epitaxial layer by controlling autodoping
US5622902A (en) Passivation/patterning of PZR diamond films for high temperature operability
KR100392919B1 (ko) 반도체 기판 앞면의 보호 코팅없이 플라즈마를 이용한 기판 후면의 에칭방법
US5101247A (en) Germanium silicon dioxide gate MOSFET
Skelly et al. Impurity Atom Transfer during Epitaxial Deposition of Silicon
US6015717A (en) Method for monitoring rapid thermal process integrity
US6806202B2 (en) Method of removing silicon oxide from a surface of a substrate
Palmetshofer et al. Evaluation of doping profiles in ion‐implanted PbTe
Sorrell et al. Model-based emissivity correction in pyrometer temperature control of rapid thermal processing systems
JPS5927529A (ja) 半導体装置用ウエフアの製造方法
JPH0982768A (ja) 半導体ウエハの評価方法
US20220415726A1 (en) Semiconductor wafer and method for manufacturing semiconductor device
JPH07272990A (ja) 半導体ウエーハの製造方法
JPH01238126A (ja) 半導体装置の製造方法
KR0171982B1 (ko) 반도체 소자의 필드 산화막 형성방법
JPH01181436A (ja) 半導体製造装置の温度測定方法
CN116564799A (zh) 多晶硅薄膜的形成方法及多晶硅薄膜电阻的控制方法
US20010014519A1 (en) Novel method for the formation of various oxide thicknesses on a nitride
JPH05206145A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid