KR870005438A - 반도체 장치 제조방법 및 장치 - Google Patents

반도체 장치 제조방법 및 장치 Download PDF

Info

Publication number
KR870005438A
KR870005438A KR860009492A KR860009492A KR870005438A KR 870005438 A KR870005438 A KR 870005438A KR 860009492 A KR860009492 A KR 860009492A KR 860009492 A KR860009492 A KR 860009492A KR 870005438 A KR870005438 A KR 870005438A
Authority
KR
South Korea
Prior art keywords
holder
chamber
cvd
type
cvd system
Prior art date
Application number
KR860009492A
Other languages
English (en)
Other versions
KR910003169B1 (ko
Inventor
슌페이 야마자끼
Original Assignee
슌페이 야마자끼
가부시끼 가이샤 한도다이 에네르기 겐뀨소
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP60253299A external-priority patent/JP2654433B2/ja
Priority claimed from JP60259194A external-priority patent/JPH0766911B2/ja
Application filed by 슌페이 야마자끼, 가부시끼 가이샤 한도다이 에네르기 겐뀨소 filed Critical 슌페이 야마자끼
Publication of KR870005438A publication Critical patent/KR870005438A/ko
Application granted granted Critical
Publication of KR910003169B1 publication Critical patent/KR910003169B1/ko

Links

Classifications

    • H01L21/203
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/32339Discharge generated by other radiation using electromagnetic radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02425Conductive materials, e.g. metallic silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Photovoltaic Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

내용 없음

Description

반도체 장치 제조 방법 및 장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 ECR 보강 CVD 시스템의 횡단면도.
제2도는 본 발명 일실시예의 횡단면도.
* 도면의 주요부분에 대한 부호의 설명
1 : 반응 챔버 2 : 공진 챔버
3 : 마이크로파 발진기 4 : 절연자
5 및 5' : 헬름 홀츠 코일 6 : 전원
9 : 진공 펌프 11 : 배기 시스템
10 : 기판 31 : 기판 홀더

Claims (16)

  1. 반도체 장치 제조 시스템으로서,
    CVD처리가 실행되는 제1 반응 챔버를 가지는 제1 CVD시스템과,
    마이크로파보강 CVD가 실행되는 제2 반응 챔버를 가지는 제2 CVD시스템을 구비하며, 상기 제1 및 제2 반응 챔버는 서로간에 연통하도록 되어 있으며,
    기판이 작착된 기판 홀더와,
    상기 제1 챔버 내측으로 부터 공기압축 방법으로 상기 제2챔버 내측으로 상기 홀더를 전송하기 위한 전송 수단을 구비하는 것을 특징으로 하는 반도체 장치 제조장치.
  2. 제1항에 있어서,
    CVD 처리가 실행되는 제3 챔버를 가지는 제3 CVD 시스템을 구비하여, 상기 제2 및 제3 챔버가 공기압축 방법으로 서로간에 연통하도록 되어 있는 것을 특징으로 하는 반도체 장치 제조장치.
  3. 제2항에 있어서,
    상기 제1 CVD 시스템은 p형 반도체층의 침착을 수행하는데 적합하고,
    상기 제2 CVD 시스템은 i형 반도체층의 침착을 수행하는데 적합하며,
    상기 제3 CVD 시스템은 n형 반도체층의 침착을 수행하는데 적합하도록 구성되어 있는 것을 특징으로 하는 반도체 장치 제조장치.
  4. 제1항에 있어서,
    상기 제1 및 제2 챔버간을 분배하는 게이트 밸브를 포함하는 것을 특징으로 하는 반도체 장치 제조장치.
  5. 제1항에 있어서,
    상기 홀더는 칼라형으로 형성되며 여기에서 기판이 보유되는 것을 특징으로 하는 반도체 장치 제조장치.
  6. 제5항에 있어서,
    칼라형 홀더는, 홀더가 챔버내에 배치될시에 CVD에 대한 화학반응이 발생하는 반응 영역을 상기 홀더내로 실질적으로 한정하는 것을 특징으로 하는 반도체 장치 제조 장치.
  7. 제1항에 있어서,
    마이크로파 보강 CVD 시스템은 ECR 시스템과 CVD 시스템과 조합된 것을 특징으로 하는 반도체 장치 제조장치.
  8. 제7항에 있어서,
    상기 제1 CVD 시스템은 글로우 방전 CVD 시스템인 것을 특징으로 하는 반도체 장치 제조장치.
  9. 제7항에 있어서,
    상기 제1 CVD 시스템은 광 보강 CVD 시스템인 것을 특징으로 하는 반도체 장치 제조장치.
  10. 제3항에 있어서,
    형성된 상기 층은 태양 전지인 것을 특징으로 하는 반도체 장치 제조장치.
  11. 반도체장치 제조 바업으로서,
    기판을 기판 홀더상에 설치하는 단계와,
    상기 홀더를 제1 반응 챔버내에 배치하는 단계와,
    상기 제1 반응 챔버내에서 제1 CVD 처리를 수행하는 단계와,
    상기 기판을 대기중의 공기와 접촉되게 함이 없이, 상기 홀더를 상기 제1 챔버로 부터 제2 반응 챔버로 전달하는 단계 및,
    상기 제2 반응 챔버내의 마이크로파에 의해 보강된 제2 화학 반응을 수행하는 단계로 이루어진 것을 특징으로 하는 반도체 장치 제조방법.
  12. 제11항에 있어서,
    상기 기판을 대기중의 공기와 접촉되게 함이 없이 상기 홀더를 상기 제2챔버로부터 제3반응 챔버로 전달하는 단계와,
    상기 제3 반응 챔버내에서 제3화학 반응을 수행하는 단계로 이루어진 것을 특징으로 하는 반도체 장치 제조방법.
  13. 제12항에 있어서,
    상기 제1, 제2 및 제3 화학 반응이 p-형, i-형 및 n-형 반도체 층의 침착과 함께 발생하는 단계를 특징으로 하는 반도체 장치 제조방법.
  14. 제12항에 있어서,
    상기 제1, 제2 및 제3 화학 반응이 n-형, i-형 및 p-형 반도체 층의 침착과 함께 발생하는 단계를 특징으로 하는 반도체 장치 제조방법.
  15. 제13항에 있어서,
    상기 p-형, i-형 및 n-형 반도체가 일체적으로 태양 전지를 구성하는 단계를 특징으로 하는 반도체 장치 제조방법.
  16. 제11항에 있어서,
    상기 제2화학 반응이 규소가스, 게르마늄 혼합가스, 주석 혼합가스 또는 탄화물 가스로 진행되는 단계를 특징으로 하는 반도체 장치 제조방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019860009492A 1985-11-12 1986-11-11 반도체 장치 제조 방법 및 장치 KR910003169B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP60253299A JP2654433B2 (ja) 1985-11-12 1985-11-12 珪素半導体作製方法
JP253299 1985-11-12
JP60259194A JPH0766911B2 (ja) 1985-11-18 1985-11-18 被膜形成方法
JP259194 1985-11-18

Publications (2)

Publication Number Publication Date
KR870005438A true KR870005438A (ko) 1987-06-08
KR910003169B1 KR910003169B1 (ko) 1991-05-20

Family

ID=26541131

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1019860009492A KR910003169B1 (ko) 1985-11-12 1986-11-11 반도체 장치 제조 방법 및 장치
KR1019900011461A KR910003170B1 (ko) 1985-11-12 1990-07-27 반도체 장치 제조 방법
KR1019900011462A KR910003171B1 (ko) 1985-11-12 1990-07-27 반도체 장치 제조 방법 및 시스템

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1019900011461A KR910003170B1 (ko) 1985-11-12 1990-07-27 반도체 장치 제조 방법
KR1019900011462A KR910003171B1 (ko) 1985-11-12 1990-07-27 반도체 장치 제조 방법 및 시스템

Country Status (5)

Country Link
US (2) US4808553A (ko)
EP (2) EP0224360B1 (ko)
KR (3) KR910003169B1 (ko)
CN (3) CN1029442C (ko)
DE (1) DE3684759D1 (ko)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
US5780313A (en) * 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
US5753542A (en) * 1985-08-02 1998-05-19 Semiconductor Energy Laboratory Co., Ltd. Method for crystallizing semiconductor material without exposing it to air
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
US5179073A (en) * 1987-10-07 1993-01-12 Semiconductor Energy Laboratory Co., Ltd. Method of shaping superconducting oxide material
US5258364A (en) * 1987-10-07 1993-11-02 Semiconductor Energy Laboratory Co., Ltd. Method of shaping superconducting oxide material
JPH067594B2 (ja) * 1987-11-20 1994-01-26 富士通株式会社 半導体基板の製造方法
GB2213835B (en) * 1987-12-18 1992-07-08 Gen Electric Co Plc Deposition apparatus
US4971667A (en) * 1988-02-05 1990-11-20 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
JPH01239919A (ja) * 1988-03-22 1989-09-25 Semiconductor Energy Lab Co Ltd プラズマ処理方法およびプラズマ処理装置
ES2040914T3 (es) * 1988-03-24 1993-11-01 Siemens Aktiengesellschaft Procedimiento y dispositivo para la elaboracion de capas semiconductoras que consisten de aleaciones amorfas de silicio-germanio segun la tecnica de descarga de efluvios, sobre todo para celulas solares.
US5407867A (en) * 1988-05-12 1995-04-18 Mitsubishki Denki Kabushiki Kaisha Method of forming a thin film on surface of semiconductor substrate
US5174881A (en) * 1988-05-12 1992-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming a thin film on surface of semiconductor substrate
US5232868A (en) * 1988-10-04 1993-08-03 Agency Of Industrial Science And Technology Method for forming a thin semiconductor film
US4962063A (en) * 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5112776A (en) * 1988-11-10 1992-05-12 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material and flowing while depositing
US5152866A (en) * 1989-01-13 1992-10-06 Hughes Aircraft Company Plasma/radiation assisted molecular beam epitaxy method
NL8900469A (nl) * 1989-02-24 1990-09-17 Imec Inter Uni Micro Electr Werkwijze en toestel voor het aanbrengen van epitaxiaal silicium en silicides.
EP0416774B1 (en) * 1989-08-28 2000-11-15 Hitachi, Ltd. A method of treating a sample of aluminium-containing material
JP2948842B2 (ja) * 1989-11-24 1999-09-13 日本真空技術株式会社 インライン型cvd装置
EP0478233B1 (en) * 1990-09-27 1996-01-03 AT&T Corp. Process for fabricating integrated circuits
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
TW237562B (ko) * 1990-11-09 1995-01-01 Semiconductor Energy Res Co Ltd
JPH04253328A (ja) * 1991-01-29 1992-09-09 Hitachi Ltd 表面処理装置
US5578520A (en) 1991-05-28 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Method for annealing a semiconductor
US5766344A (en) * 1991-09-21 1998-06-16 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor
US6979840B1 (en) 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
US7097712B1 (en) * 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
US6897100B2 (en) * 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
CN1052566C (zh) * 1993-11-05 2000-05-17 株式会社半导体能源研究所 制造半导体器件的方法
WO1995026571A1 (en) * 1994-03-25 1995-10-05 Amoco/Enron Solar Stabilized amorphous silicon and devices containing same
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
KR100804873B1 (ko) 1999-06-10 2008-02-20 얼라이드시그날 인코퍼레이티드 포토리소그래피용 sog 반사방지 코팅
JP4439665B2 (ja) * 2000-03-29 2010-03-24 株式会社半導体エネルギー研究所 プラズマcvd装置
GB0214273D0 (en) * 2002-06-20 2002-07-31 Boc Group Plc Apparatus for controlling the pressure in a process chamber and method of operating same
DE102005004311A1 (de) * 2005-01-31 2006-08-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von aus mehreren Komponentenhalbleitern bestehende Schichtenfolgen
US20090078199A1 (en) * 2007-09-21 2009-03-26 Innovation Vacuum Technology Co., Ltd. Plasma enhanced chemical vapor deposition apparatus
US20110297088A1 (en) 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
CN104704624B (zh) * 2012-10-09 2017-06-09 应用材料公司 具索引的串联基板处理工具
US20150040970A1 (en) * 2013-08-06 2015-02-12 First Solar, Inc. Vacuum Deposition System For Solar Cell Production And Method Of Manufacturing
CN103556126A (zh) * 2013-10-14 2014-02-05 中国科学院半导体研究所 优化配置的多腔室mocvd反应系统
JP6803842B2 (ja) 2015-04-13 2020-12-23 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング
KR20170005240A (ko) * 2015-07-01 2017-01-12 주식회사 아바코 도전 산화물층의 증착 장비 및 방법
CN109750274B (zh) * 2017-11-01 2021-10-22 长鑫存储技术有限公司 半导体生产设备及半导体工艺方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3594227A (en) * 1968-07-12 1971-07-20 Bell Telephone Labor Inc Method for treating semiconductor slices with gases
CA1159012A (en) * 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
JPS5766625A (en) * 1980-10-11 1982-04-22 Semiconductor Energy Lab Co Ltd Manufacture of film
JPS58169980A (ja) * 1982-03-19 1983-10-06 Matsushita Electric Ind Co Ltd 光起電力素子の製造方法
US4435445A (en) * 1982-05-13 1984-03-06 Energy Conversion Devices, Inc. Photo-assisted CVD
US4504518A (en) * 1982-09-24 1985-03-12 Energy Conversion Devices, Inc. Method of making amorphous semiconductor alloys and devices using microwave energy
DE3375700D1 (en) * 1982-10-12 1988-03-24 Nat Res Dev Infra red transparent optical components
US4515107A (en) * 1982-11-12 1985-05-07 Sovonics Solar Systems Apparatus for the manufacture of photovoltaic devices
JPS59159167A (ja) * 1983-03-01 1984-09-08 Zenko Hirose アモルフアスシリコン膜の形成方法
JPS59200248A (ja) * 1983-04-28 1984-11-13 Canon Inc 像形成部材の製造法

Also Published As

Publication number Publication date
KR920003833A (ko) 1992-02-29
EP0224360A3 (en) 1987-08-19
CN1005881B (zh) 1989-11-22
CN87104656A (zh) 1987-12-16
CN87104657A (zh) 1987-12-16
CN1007565B (zh) 1990-04-11
CN1029442C (zh) 1995-08-02
US4808554A (en) 1989-02-28
KR910003169B1 (ko) 1991-05-20
KR910003170B1 (ko) 1991-05-20
EP0224360B1 (en) 1992-04-08
DE3684759D1 (de) 1992-05-14
EP0224360A2 (en) 1987-06-03
KR920003832A (ko) 1992-02-29
KR910003171B1 (ko) 1991-05-20
US4808553A (en) 1989-02-28
EP0457415A1 (en) 1991-11-21
CN86107683A (zh) 1987-05-20

Similar Documents

Publication Publication Date Title
KR870005438A (ko) 반도체 장치 제조방법 및 장치
KR970054585A (ko) 질화물계 iii-v족 화합물 반도체 소자 및 그의 제조 방법
US5976259A (en) Semiconductor device, manufacturing method, and system
KR970067610A (ko) 유도결합형 플라즈마 cvd장치
KR890011040A (ko) 실리콘 기판과 금속층 사이의 실리콘 카바이드 장벽층
KR970705174A (ko) 실리콘 캐패시터 제조 방법(process for producing a silicon capacitor)
KR950030282A (ko) 박막 트랜지스터의 제조방법
KR970013071A (ko) 반도체 장치용 최종 패시베이션 구조물 및 그 형성방법
KR920008876A (ko) Cvd실리콘 산화질화막의 제조방법
JPS5766625A (en) Manufacture of film
KR900019250A (ko) 화합물 반도체 장치
KR970054583A (ko) 발광 다이오드의 제조 방법
US4099997A (en) Method of fabricating a semiconductor device
IE841425L (en) Foam semiconductor dopant carriers
IE33385L (en) Semiconductor device
KR950015593A (ko) 반도체 소자의 확산방지용 티타늄나이트라이드 박막 형성방법
US3808059A (en) Method for manufacturing iii-v compound semiconductor device
JPS564285A (en) Manufacture of planar type semiconductor device
KR870010638A (ko) 금속절연 반도체형 다결정실리콘 태양전지의 제조방법
KR910005434A (ko) 저압화학증착비용 고온 배기가스의 냉각장치
GB1318856A (en) Semiconductor devices
KR960039194A (ko) 평탄화 절연막 형성방법
JPS6489568A (en) Manufacture of semiconductor device
KR970053508A (ko) 반도체 소자의 텅스텐-플러그 형성 방법
KR980006429A (ko) 반도체장치의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20050512

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee