KR20210053190A - SiOC 박막의 선택적 증착 - Google Patents

SiOC 박막의 선택적 증착 Download PDF

Info

Publication number
KR20210053190A
KR20210053190A KR1020200132514A KR20200132514A KR20210053190A KR 20210053190 A KR20210053190 A KR 20210053190A KR 1020200132514 A KR1020200132514 A KR 1020200132514A KR 20200132514 A KR20200132514 A KR 20200132514A KR 20210053190 A KR20210053190 A KR 20210053190A
Authority
KR
South Korea
Prior art keywords
plasma
substrate
sioc
gas
contacting
Prior art date
Application number
KR1020200132514A
Other languages
English (en)
Inventor
얀 빌렘 마에스
로에스트 다비드 커트 드
오레스테 마디아
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210053190A publication Critical patent/KR20210053190A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

금속 표면에 SiOC(silicon oxycarbide)의 상당한 오버행을 생성하지 않으면서 금속 표면에 대해 기판의 유전체 표면에 SiOC 박막을 선택적으로 증착하는 방법들이 제공된다. 상기 방법들은 기판을 실리콘 전구체, 제1 Ar 및 H2 플라즈마, 제2 Ar 플라즈마 및 에칭액과 교대로 그리고 순차적으로 접촉시키는 것을 포함하는 적어도 하나의 플라즈마 강화 원자층 증착(PEALD) 사이클을 포함할 수 있다.

Description

SiOC 박막의 선택적 증착{SELECTIVE DEPOSITION OF SiOC THIN FILMS}
관련 출원의 상호 참조
본 출원은 2019년 10월 31일에 출원된 미국 가출원 제62/928,978호에 대한 우선권을 주장하며, 이는 본원에 참조로 포함된다.
기술분야
본 개시는 일반적으로 반도체 소자 제조 분야에 관한 것으로, 보다 상세하게는 유전체 재료 상의 실리콘 옥시카바이드(SiOC) 막의 선택적 증착에 관한 것이다.
비교적 낮은 유전 상수(k) 값과 비교적 낮은 산 계열 습식 식각 속도를 갖는 유전체 재료의 필요성이 늘고 있다. 실리콘 옥시카바이드(SiOC)는 이들 요건 일부를 충족할 수 있다. 금속 표면에 대한 유전체 표면 상에서와 같이, 패턴이 있는 표면 상에 SiOC와 같은 유전체 막의 선택적 증착은 다수의 설정에서 유리할 수 있다. 예를 들어, 백-엔드-오브-라인(BEOL) 처리 영역의 자기 정렬된 비아의 경우에서, BEOL 구조의 기존 유전체 영역 상에서의 SiOC와 같은 저-유전율 유전체의 선택적 성장이 바람직하다.
SiOC와 같은 유전체 재료의 선택적 증착시 생기는 도전 과제 중 하나는, 인접한 표면 상에서, 예컨대 인접한 금속 영역 상에서의 과성장이다. 이러한 과성장은, 예를 들어 BEOL 구조 상에 낮은 직렬 저항을 갖는 비아의 생성에 이용 가능한 영역을 감소시킬 수 있다.
도 1a 및 도 1b는 저-유전율(k) 재료와 구리의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적인 단면을 이용하는 흐름도를 나타내며, 금속 영역 상의 바람직하지 않은 과성장이 보일 수 있는 영역 선택적 증착(도 1a) 및 금속 표면 상에 증착된 재료가 존재하지 않는 더 바람직한 증착(도 1b)을 일반적으로 나타낸다.
도 2a 및 도 2b는 저-유전율(k) 재료와 구리의 제1 및 제2 표면을 갖는 기판의 일부에 대한 개략적인 단면을 이용하는 흐름도를 도시하며, 구리 표면 상의 오버행을 제거하기 위한 플라즈마 처리 및 후속 식각을 사용한 일부 구현예에 따른 영역 선택적 증착을 일반적으로 나타낸다.
도 3은, 금속 표면으로부터 오버행 재료를 제거하기 위해 플라즈마 처리 및 후속 식각을 이용하는 일부 구현예에 따라, 금속 표면에 대해 유전체 표면 상에 SiOC 막을 선택적으로 형성하기 위한 공정 흐름을 나타낸 흐름도이다.
도 4는, 금속 표면으로부터 오버행 재료를 제거하기 위해 플라즈마 처리 및 후속 식각을 이용하는 일부 구현예에 따라, 금속 표면에 대해 유전체 표면 상에 SiOC 막을 선택적으로 형성하기 위한 공정 흐름을 나타낸 흐름도이다.
실리콘 옥시카바이드(SiOC) 막은, 예를 들어 집적 회로 제조에서 있어서 광범위하게 다양한 응용을 갖는다. SiOC 막은, 예를 들어, 식각 정지층, 희생층, 저 유전율 스페이서, 반사방지층(ARL), 및 패시베이션층으로서 유용할 수 있다. SiOC는, 예를 들어, 본원에 참조로 포함된 미국 특허출원 제16/588,600호에 기술된 바와 같이, 금속에 대해 유전체 또는 저-유전율 재료 상에 선택적으로 증착될 수 있다. 이는 영역 선택적 증착으로서 지칭될 수 있다. 패터닝된 평평한 표면 상의 SiOC의 영역 선택적 증착은, 일반적으로 등방성 방식으로 진행하여, 도 1a에 나타낸 바와 같이, "머쉬룸" 유형의 성장을 초래한다. 증착된 재료는, 성장이 선택적으로 이루어지는 영역으로부터 통상 수직 및 수평 성장한다. 많은 응용 분야에서, 이러한 측방향 성장은 바람직하지 않다. 수직 성장은, 도 1b에 나타낸 바와 같이, 성장이 발생하는 원래 구조물의 치수를 유지하고 이웃하는 영역을 덮지 않는 것이 바람직하다.
일부 구현예에서, 유전체, 예를 들어 SiOC와 같은 저-유전율 유전체의 영역 선택적 성장은, 금속 표면과 같은 제2 표면 상에 바람직하지 않은 수준의 과성장 없이, 유전체 또는 저-유전율 표면과 같은 제1 표면 상에서 선택적으로 일어난다. 일부 구현예에서, 제1 표면은 저-유전율 재료와 같은 무기 유전체 표면을 포함하고, 제2 표면은 원소 금속 또는 금속 합금과 같은 금속성 표면을 포함한다. 저-유전율 재료의 예시는 성장되거나 증착된 실리콘 디옥사이드, 도핑되고/되거나 다공성인 옥사이드, 실리콘 상의 자연적인 옥사이드 등을 포함한 실리콘 옥사이드계 재료를 포함한다. 일부 구현예에서, 유전체의 영역 선택적 증착은, 이웃하는 금속 표면 상에 측방향으로 성장된 재료를 우선적으로 제거하는 하나 이상의 플라즈마 처리 및/또는 식각 단계를 포함한 PEALD 공정을 사용하여 수행된다. 일부 구현예에서, 희석 HF에서와 같은 습식 식각 및/또는 건식 식각이 공정에 활용되어 인접한 금속 표면으로부터 SiOC를 제거하고 원하는 구조를 얻을 수 있다.
일부 구현예에서, SiOC 재료는 기판의 상이한 표면, 예컨대 금속 표면에 대해 기판의 유전체 표면 상에 선택적으로 증착된다. 예를 들어, SiOC는 TiN, W, Co, Cu, 또는 Ru와 같은 금속에 대해 SiO2 또는 SiN과 같은 유전체 상에 우선적으로 증착될 수 있다. 일부 구현예에서, 상기 영역 선택적 PEALD 증착 공정은, 실리콘 전구체 및 수소 플라즈마 반응물로서 (3-메톡시프로필) 트리메톡시실란(MPTMS)을 사용하는 SiOC 증착 공정일 수 있다. 증착 조건은, SiOC의 상부 표면이 측벽 상의 SiOC보다 낮은 식각 속도를 갖도록 선택될 수 있다. 예를 들어, 실리콘 전구체와의 반응에 사용되는 플라즈마는 이방성일 수 있고 증착된 재료의 불균질한 특성을 초래할 수 있다. 희석된 HF에서의 SiO2, SiN 또는 SiOC의 습식 식각 속도는, 재료 밀도 및 재료 내의 수소 불순물 함량에 매우 민감한 것으로 알려져 있으며, 이는 성장된 재료가 플라스마 이온에 어떻게 직접 노출되는지에 의존한다. 상부 표면은 인입 플라즈마의 이온에 직접 노출되는 반면, 측벽에서의 재료는 플라즈마에 더 간접적으로 노출된다. 따라서, SiOC를 형성하기 위해 실리콘 전구체와 반응하는 데 사용되는 플라즈마는, 증착된 SiOC의 상부 표면이 낮은 습식 식각 속도를 갖는 반면, 측벽 상의 재료가 더 높은 습식 식각 속도를 갖는 방식으로 조정될 수 있다.
"머쉬룸" 형상이라고도 불리는, 금속 표면 상의 오버행의 측면에서의 재료는, 인입 플라즈마에 직접적으로 덜 노출되고, 그 결과 금속 표면에 걸쳐져 있는 막의 부분은, 일반적으로 유전체 재료 위에 놓이는 막의 부분보다 더 높은 식각 속도를 가질 것이다. 이러한 특징은, 도 2b에 나타낸 바와 같이, 이웃하는 금속 표면 위로 측방향 성장되는 재료를 우선적으로 식각함으로써 영역 선택적으로 성장된 재료를 식각하고 재성형하는 데 사용될 수 있다. 증착 공정은, 금속 표면 상의 오버행 부분으로부터 우선적으로 재료를 제거하는 플라즈마 처리를 포함할 수 있다. 증착 공정은, 또한 금속 표면 위로부터 증착된 SiOC를 제거하기 위한 희석된 습식 식각, 및/또는 건조 또는 플라즈마 식각과 같은 식각 공정을 포함할 수 있다. 전체 공정은 주기적인 방식으로 수행될 수 있어서, 여러 증착 및 식각 사이클을 반복할 수 있다. 그 결과는 도 2b에 나타낸 바와 같이, 이웃하는 금속 표면 위로 최소량의 측방향 성장을 갖는 구조이다.
금속 표면에 대해 유전체 표면 상에 SiOC 막을 선택적으로 형성하기 위한 PEALD 공정은, 다양한 응용예에서 사용될 수 있다. 일부 구현예에서, 공정은 다양한 백-엔드-오브-라인(BEOL) 또는 미들-오브-라인(MOL) 적용에서 사용된다. 예를 들어, 본원에 설명된 PEALD 공정은, 백-엔드-오브-라인(BEOL) 구조의 형성에 있어서 기존 유전체 재료의 상부 상에 저-유전율 유전체 층을 형성하는 데 사용될 수 있다.
일부 구현예에 따라, 금속 표면에 대해 유전체 또는 저-유전율 표면 상에 SiOC 막을 선택적으로 형성하기 위한 방법이 제공된다. 일부 구현예에서, 금속 표면 상의 SiOC는 유전체 표면 상의 SiOC에 비해 감소되거나 완전히 없다. 일부 구현예에서, SiOC 박막은 플라즈마 강화 원자층 증착(PEALD) 공정에 의해 제2 금속 표면에 대해 제1 유전체 표면 상에 형성된다. 일부 구현예에서, SiOC 박막은 액상 방법에 의해 증착되지 않는다. 제2 표면에 대해 제1 표면 상에 SiOC 막을 선택적으로 형성하기 위한 방법에 따라, 제1 및 제2 표면은 서로 상이한 것이 제공된다.
실리콘 옥시카바이드 막의 조성식은 편의상 및 간략화를 위해 본원에서 일반적으로 SiOC로서 지칭된다. 본원에서 사용되는 바와 같이, SiOC는, 예를 들어 임의의 Si, O, C 및/또는 막 내의 임의의 다른 원소의 산화 상태와 같은 화학 상태 또는 결합을 제한, 제약 또는 정의하려고 의도하지 않는다. 또한, 일부 구현예에서, SiOC 박막은 Si, O 및/또는 C 이외에 S와 같이 하나 이상의 원소를 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합 및/또는 Si-O 결합을 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합 및/또는 Si-O 결합을 포함할 수 있고, Si-N 결합은 포함하지 않을 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합 및/또는 Si-O 결합 이외에 Si-S 결합을 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합보다 많은 Si-O 결합을 포함할 수 있고, 예를 들어 Si-O 대 Si-C 결합의 비율은 약 1:1 내지 약 10:1일 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 0% 내지 약 40%의 탄소를 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 0.1% 내지 약 40%, 약 0.5% 내지 약 30%, 약 1% 내지 약 30%, 또는 약 5% 내지 약 20%의 탄소를 포함할 수 있다. 일부 구현예에서 SiOC막은 원자 기준으로 약 0% 내지 약 70%의 산소를 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 10% 내지 약 70%, 약 15% 내지 약 50%, 약 20% 내지 약 40%의 산소를 포함할 수 있다. 일부 구현예에서 SiOC막은 원자 기준으로 약 0% 내지 약 50%의 실리콘을 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 10% 내지 약 50%, 약 15% 내지 약 40%, 또는 약 20% 내지 약 35%의 실리콘을 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 0.1% 내지 약 40%, 약 0.5% 내지 약 30%, 약 1% 내지 약 30%, 또는 약 5% 내지 약 20%의 황을 포함할 수 있다. 일부 구현예에서, SiOC 막은 질소를 포함하지 않을 수 있다. 일부 다른 구현예에서 SiOC 막은 원자 기준(원자%)으로 약 0% 내지 약 5%의 질소를 포함할 수 있다.
ALD형 공정은 일반적으로 제어된 자기 제어 표면 반응에 기반한다. 일반적으로 기상 반응은 기판을 반응물과 교대 순차적으로 접촉시킴으로써 회피된다. 기상 반응물은, 예컨대 반응물 펄스 사이의 과량의 반응물 및/또는 반응물 부산물을 제거함으로써 반응 챔버에서 서로 분리된다. 반응물은 퍼지 가스 및/또는 진공을 이용해 기판 표면과 근접한 곳으로부터 제거될 수 있다. 일부 구현예에서, 과량의 반응물 및/또는 반응 부산물은, 예를 들어 불활성 가스로 퍼지함으로써 반응 공간으로부터 제거된다.
일부 구현예에서, 플라즈마 강화 ALD(PEALD) 공정은, 금속 표면에 대해 유전체 표면 상에 선택적으로 SiOC 막을 형성하기 위해 사용된다. 제2 표면 B에 대해 제1 표면 A 상의 증착 선택도는 [(표면 A 상의 증착)-(표면 B 상의 증착)]/(표면 A 상의 증착)에 의해 계산되는 백분율로서 주어질 수 있다. 증착은 당해 분야에 알려지거나 본원에 기술된 다양한 방식으로 측정될 수 있다. 예를 들어, 증착은 증착된 재료의 측정된 두께로서 주어지거나, 증착된 재료의 측정된 양으로서 주어질 수 있다. 일부 구현예에서, 금속 표면에 대해 유전체 표면 상에서와 같이, 제2 표면에 대해 제1 표면 상에 SiOC의 형성 선택도는 >20%, >25%, >50%, >80%, >90%, >93%, >95%, >97%, >98%, 또는 심지어 >99%이다.
증착 공정은, SiOC를 형성하기 위한 플라즈마의 사용뿐만 아니라, 금속 표면 상에 형성된 SiOC를 제거하기 위한 플라즈마 처리 및 후속 식각을 포함함으로써 선택도를 향상시킬 수 있다. 증착 공정에서의 플라즈마 조건은, 증착된 SiOC에서 원하는 식각 선택도를 달성하기 위해 그리고 금속 표면 상에 바람직하지 않게 형성된 SiOC의 제거를 용이하게 하기 위해 선택될 수 있다.
일부 구현예에서, SiOC 박막은 PEALD 사이클의 반복에 의해 금속 표면에 대해 기판의 유전체 표면 상에 선택적으로 형성된다. 일부 구현예에서 SiOC막을 형성하는 데 있어서, PEALD 사이클 각각은 적어도 4개의 별개 페이즈를 포함한다. 금속 표면에 대해 유전체 표면 상에 SiOC를 선택적으로 형성하기 위한 예시적인 사이클(100)을 도 3에 나타낸다. 간단히 말해, 유전체 표면 및 금속 표면을 포함한 기판 또는 처리 대상물은, 반응 챔버 내에 배치되고 교대 반복되는 표면 반응을 거친다. 제1 페이즈(110, 120)에서, 실리콘을 포함한 제1 기상 반응물 또는 전구체는 기판(110)과 접촉하여 기판 표면 상에 실리콘 종의 약 하나의 단일층만을 형성한다. 이 반응물은 본원에서 "실리콘 전구체", "실리콘 함유 전구체", 또는 "실리콘 반응물"로도 또한 언급되며, 예를 들어 유기 리간드를 포함한 실리콘 전구체 또는 Si-O 결합을 포함한 실리콘 전구체일 수 있다. 일부 구현예에서, 실리콘 전구체는 3-메톡시프로필트리메톡시실란(MPTMS) 또는 비스(트리에톡시실릴)에탄(BTESE)을 포함한다. 온도 등의 증착 조건은 제1 반응물의 종이 금속 표면에 대해 기판의 유전체 표면 상에 우선적으로 흡착되도록 선택된다.
제1 실리콘 반응물 펄스는 가스 형태로 공급될 수 있다. 노출 표면을 포화시키기에 충분한 농도로 기판 표면에 종을 운반하기 위한 공정 조건 하에 종이 충분한 증기압을 나타내는 경우, 본 명세서의 목적을 위해 실리콘 전구체 가스는 "휘발성"으로 간주된다.
일부 구현예에서, 실리콘 반응물은 약 0.05초 내지 약 5.0초, 약 0.1초 내지 약 3초 또는 약 0.2초 내지 약 1.0 초 동안 기판 표면과 접촉한다. 최적의 접촉 시간은 특정 환경에 기초하여 당업자에 의해 결정될 수 있다.
일부 구현예에서, 과량의 제1 기상 반응물 및 임의의 반응 부산물이 기판 표면(120)의 근방으로부터 후속 제거된다. 제1 기상 반응물 및 임의의 반응 부산물은 퍼지 가스 및/또는 진공의 도움으로 기판 표면의 근방으로부터 제거될 수 있다. 일부 구현예에서, 과량의 반응물 및/또는 반응 부산물은, 예를 들어 불활성 가스로 퍼지함으로써 반응 공간으로부터 제거된다. 일부 구현예에서, 제거는 약 0.1초 내지 10초, 약 0.1초 내지 약 4초 또는 약 0.1초 내지 약 0.5초 동안 수행될 수 있다. 일부 구현예에서, 기판 표면의 근방으로부터 반응물 및/또는 반응 부산물의 제거를 용이하게 하기 위해, 기판은, 예를 들어 다른 반응 챔버 또는 반응 챔버의 별도 부분으로 기판을 옮김으로써, 이동할 수 있다.
제2 페이즈(130, 140)에서, 플라즈마로부터 반응성 종을 포함한 제2 반응물은 기판과 접촉하여, SiOC가 금속 표면에 대해 유전체 표면 상에서 우선적으로 증착되도록, 흡착된 실리콘 종을 SiOC로 전환시킬 수 있다(130). 그러나, 일부 증착은, 예를 들어 도 1a에 개략적으로 나타낸 바와 같이 금속 표면 상에서 일어날 수 있다. 제1 페이즈 및 제2 페이즈(110, 120, 130, 140)는 함께 전체 사이클(100)의 SiOC 증착 부분으로서 지칭될 수 있다.
제2 반응물은, 수소 전구체를 포함할 수 있고 반응성 수소 종을 포함할 수 있다. 일부 구현예에서, 반응성 종은 라디칼, 플라스마 및/또는 여기된 원자 또는 종을 포함하지만, 이에 제한되지 않는다. 이러한 반응성 종은, 예를 들어 플라즈마 방전, 핫-와이어, 또는 다른 적절한 방법에 의해 생성될 수 있다. 일부 구현예에서, 반응성 종은 반응 챔버로부터 원격으로, 예를 들어 반응 챔버로부터 상류에서 생성될 수 있다("원격식 플라즈마"). 일부 구현예에서, 반응성 종은 반응 챔버 내에서, 기판 바로 근처에서, 또는 기판 바로 위에 생성될 수 있다("직접식 플라즈마").
제2 반응물은 수소 종이 아닌 다른 종을 포함할 수 있다. 일부 구현예에서, 제2 반응물은 하나 이상의 He, Ne, Ar, Kr 또는 Xe와 같은 귀가스로부터의 반응성 종, 예를 들어 라디칼로서, 플라즈마 형태 또는 원소 형태로 포함할 수 있다. 일부 구현예에서, 제2 반응물은 Ar 플라즈마로부터의 반응성 종을 포함한다. 귀가스 유래의 이들 반응성 종은, 재료를, 증착된 막에 반드시 제공하지는 않지만, 일부 경우에 따라 막의 성장뿐만 아니라 플라즈마의 형성 및 점화에 도움을 줄 수 있다.
일부 구현예에서, 기판은 H2 및 Ar 플라즈마를 포함한 반응물과 접촉한다. 수소 및 아르곤 플라즈마와 같은 플라즈마는, 예를 들어 원격식 플라즈마 발생기를 통해 수소(H2) 및 아르곤을 흐르게 하는 것에 의해, 반응 챔버 내에 또는 반응 챔버의 상류에서 수소 및 아르곤 가스와 가튼 반응물 가스에서 플라즈마를 생성시킴으로써 형성될 수 있다.
일부 구현예에서, 플라즈마 형성에 사용된 하나 이상의 가스는, 증착 공정 전체에 걸쳐 일정하게 흐를 수 있지만 간헐적으로만 활성화될 수 있다. 예를 들어, H2 및/또는 Ar 가스는 증착 공정 전체에 걸쳐 연속적으로 흐를 수 있다. 일부 구현예에서, 가스는 실리콘 반응물용 캐리어 가스 및 플라즈마 반응물로서 작용할 수 있다.
일부 구현예에서, 제2 반응물은 흐르는 H2 및 Ar 가스에서 발생된 플라즈마를 포함한다. 일부 구현예에서, H2 및 Ar 함유 가스는 플라즈마가 점화되기 전에 반응 챔버에 제공된다. 일부 구현예에서, H2 및 Ar 가스는 반응 챔버에 연속적으로 제공되고, 수소 및 아르곤 함유 플라즈마는 필요시 생성되거나 공급된다. 일부 구현예에서, 또한 H2 및 Ar 이외에 N2가 플라즈마가 점화되기 전에 반응 챔버에 제공된다. 일부 구현예에서, 또한 N2만이 플라즈마가 점화되기 전에 반응 챔버에 제공된다.
일부 구현예에서, 제2 반응물은, 약 1 원자%(at%) 초과의 수소, 약 10 원자% 초과의 수소, 약 25 원자% 초과의 수소, 약 25 원자% 초과의 수소, 약 50 원자% 초과의 수소, 약 75 원자% 초과의 수소, 약 85 원자% 초과의 수소, 약 90 원자% 초과의 수소, 약 95 원자% 초과의 수소, 약 96 원자%, 97 원자%, 98 원자% 초과, 또는 약 99 원자% 초과의 수소를 함유하는 가스로부터 생성될 수 있다.
일부 구현예에서, 제2 반응물은, 약 1 원자%(at%) 초과의 아르곤, 약 10 원자% 초과의 아르곤, 약 25 원자% 초과의 아르곤, 약 25 원자% 초과의 아르곤, 약 50 원자% 초과의 아르곤, 약 75 원자% 초과의 아르곤, 약 85 원자% 초과의 아르곤, 약 90 원자% 초과의 아르곤, 약 95 원자% 초과의 아르곤, 약 96 원자%, 97 원자%, 98 원자% 초과, 또는 약 99 원자% 초과의 아르곤을 함유하는 가스로부터 생성될 수 있다.
일반적으로, 제2 반응물, 예를 들어 수소 및 아르곤 플라즈마는 약 0.1초 내지 약 10초 동안 기판과 접촉한다. 일부 구현예에서, 수소 및 아르곤 플라즈마와 같은 제2 반응물은 약 0.1초 내지 약 10초, 0.5초 내지 약 5초 또는 0.5초 내지 약 2.0초 동안 기판과 접촉한다. 그러나, 반응기 유형, 기판 유형 및 그 표면적에 따라, 제2 반응물 접촉 시간은 약 10초보다 훨씬 더 길 수 있다. 일부 구현예에서, 접촉 시간은 분 단위일 수 있다. 당업자는 특정 환경에 기반하여 최적의 접촉 시간을 쉽게 결정할 수 있다.
일부 구현예에서, 제2 반응물은 둘 이상의 개별 펄스로 제공되며, 둘 이상의 펄스 중 임의의 펄스 사이에 또 다른 반응물을 도입하지 않는다. 예를 들어, 일부 구현예에서, 수소 및 아르곤 플라즈마와 같은 플라즈마는, 두 개 이상의 순차적인 펄스로 제공되며, 상기 순차적인 펄스 사이에 Si 전구체를 도입하지 않는다. 일부 구현예에서, 두 개 이상의 순차적인 플라즈마 펄스는, 제1 기간 동안 플라즈마 방전을 제공하고, 제2 기간 동안, 예를 들어 약 0.1초 내지 약 10초, 약 0.5초 내지 약 5초, 또는 약 1.0초 내지 약 4.0초 동안 플라즈마 방전을 소멸시키고, Si 전구체의 도입 또는 퍼지 단계 이전과 같이 다른 전구체의 도입 또는 제거 단계 이전의 제3 기간 동안 이를 다시 여기시킴으로써 생성된다. 플라즈마의 추가적인 펄스가 동일한 방식으로 도입될 수 있다. 일부 구현예에서, 플라즈마는 펄스 각각에서 동등한 시간 동안 점화된다.
일부 구현예에서, 플라즈마 형성에 사용된 가스는 산소를 포함하지 않는다. 일부 구현예에서, 흡착된 실리콘 전구체는 산소 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 구현예에서, 반응성 종을 포함하는 제2 반응물은 산소를 포함하지 않는 가스에서 생성된다. 예를 들어, 일부 구현예에서, 제2 반응물은, 산소를 포함하지 않는 가스에서 생성된 플라즈마를 포함할 수 있다. 일부 구현예에서, 제2 반응물은, 약 50 원자%(at%) 미만의 산소, 약 30 원자% 미만의 산소, 약 10 원자% 미만의 산소, 약 5 원자% 미만의 산소, 약 1 원자% 미만의 산소, 약 0.1 원자% 미만의 산소, 약 0.01 원자% 미만의 산소, 또는 심지어 약 0.001 원자% 미만의 산소를 포함하는 가스에서 생성될 수 있다.
일부 구현예에서, 플라즈마 형성에 사용된 가스는 질소를 포함하지 않는다. 일부 구현예에서, 흡착된 실리콘 전구체는 질소 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 구현예에서, 반응성 종을 포함하는 제2 반응물은 질소를 포함하지 않는 가스에서 생성된다. 예를 들어, 일부 구현예에서, 제2 반응물은, 질소를 포함하지 않는 가스에서 생성된 플라즈마를 포함할 수 있다. 그러나, 일부 구현예에서, 플라즈마 형성에 사용된 가스는 질소를 포함할 수 있다. 일부 다른 구현예에서, 제2 반응물은 질소 라디칼, 질소 원자 및/또는 질소 플라즈마를 포함할 수 있다. 일부 구현예에서, 제2 반응물은 약 25 원자%(at%) 미만의 질소, 약 20 원자% 미만의 질소, 약 15 원자% 미만의 질소, 약 10 원자% 미만의 질소, 약 5 원자% 미만의 질소, 약 1 원자% 미만의 질소, 약 0.1 원자% 미만의 질소, 약 0.01 원자% 미만의 질소를 포함하는 가스, 또는 약 0.001 원자% 미만의 질소를 포함하는 가스 내에서 생성될 수 있다. 일부 구현예에서, 제2 반응물은 수소 및 질소를 포함하는 가스 내에서 생성될 수 있고, 예를 들어 제2 반응물은 H2 및 N2를 포함할 수 있다. 일부 구현예에서, 제2 반응물은 N2 대 H2의 비율(N2/H2)이 약 20% 미만, 약 10% 미만, 또는 약 5% 미만인 가스에서 생성될 수 있다.
일부 구현예에서, 플라즈마 형성에 사용된 가스는 질소 또는 산소를 포함하지 않는다. 일부 구현예에서, 흡착된 실리콘 전구체는 질소 또는 산소 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 구현예에서, 반응성 종을 포함하는 제2 반응물은, 질소 또는 산소를 포함하지 않는 가스에서 생성된다. 예를 들어, 일부 구현예에서, 제2 반응물은, 질소 또는 산소를 포함하지 않는 가스에서 생성된 플라즈마를 포함할 수 있다.
일부 구현예에서, 플라즈마는 이방성이다. 일부 구현예에서, 플라즈마 전력, 조성 및 반응 파라미터는 SiOC가 금속 표면에 대해 유전체 표면 상에 선택적으로 증착되고 원하는 식각 속도 특성을 갖도록 조정된다. 특히, 일부 구현예에서, 유전체 표면 상에 증착된 SiOC는 금속 표면 상에 증착될 수 있는 SiOC와 상이한 특성을 갖는다. 예를 들어, 유전체 표면 상에 선택적으로 증착되는 SiOC는, 동일한 증착 공정 동안 금속 표면 상에 증착되는 SiOC보다 더 높은 밀도 및 더 낮은 식각 속도를 가질 수 있다. 일부 구현예에서, 증착된 SiOC 막의 수평 또는 상부 표면은, 동일한 SiOC 막의 비수평 또는 측벽 표면보다 낮은 습식 식각 속도와 같이 낮은 식각 속도를 갖는다. 본원에서 논의된 바와 같이, 이들 차등 특성은 금속 표면으로부터 SiOC를 우선적으로 식각하고 원하는 증착 프로파일을 생성하기 위해 사용될 수 있다.
일부 구현예에서, 제2 반응물은 산소 함유 종(예, 산소 이온, 라디칼, 산소 원자)이 없거나 실질적으로 없을 수 있다. 일부 구현예에서, 제2 반응물은 질소로부터 생성된 임의의 종을 포함하지 않는다.
일부 구현예에서, 플라즈마 전력, 플라즈마 조성 및/또는 서셉터의 온도는, 증착된 SiOC 막 내에서 원하는 선택도 및 원하는 식각 속도 특성을 달성하기 위해 조정된다.
일부 구현예에서, 플라즈마 전력은 금속 표면에 대해 유전체 표면 상에 SiOC의 선택적 증착을 달성하도록 조정된다. 일부 구현예에서, 플라즈마 전력은, 금속 표면 상에 증착된 SiOC가 유전체 표면 상에 증착된 SiOC보다 높은 식각 속도를 갖도록 조정된다. 일부 구현예에서, 제2 반응물 플라즈마를 생성하기 위해 사용되는 플라즈마 전력은, 약 5 와트(W) 내지 약 5000 W, 10 W 내지 약 2,000 W, 약 50W 내지 약 1000 W, 약 100 W 내지 약 1000 W, 또는 약 100 W 내지 약 500 W일 수 있다. 일부 구현예에서, 플라즈마 전력은 약 100 W 내지 약 300 W일 수 있다.
일부 구현예에서, 기판을 지지하는 서셉터의 온도는, 금속 표면에 대해 유전체 표면 상에 SiOC의 원하는 선택적 증착을 달성하고 상이한 표면 상의 SiOC에서 원하는 식각 속도 특성을 달성하기 위해, 선택될 수 있다. 일부 구현예에서, 상기 온도는, 유전체 표면 상에 형성된 SiOC가 동일한 공정에 의해 금속 표면 상에 형성된 SiOC보다 낮은 식각 속도를 갖도록 선택된다. 일부 구현예에서, 서셉터 온도는 약 20 내지 약 700C, 약 50 내지 약 600C, 약 100 내지 약 550C 또는 약 200 내지 500C이다.
일부 구현예에서, 플라즈마가 발생되는 가스에서 H2 및 Ar 각각의 양은, SiOC에서 원하는 선택도 및 식각 속도 특징을 달성하도록 선택된다. 일부 구현예에서, H/R 비는 1:1 미만이나 0:1은 아니고, 약 1:2 미만이나 0:1은 아니고, 약 1:5 미만이나 0:1은 아니고, 약 1:10 미만이나 0:1은 아니고, 약 1:20 미만이나 0:1은 아니다.
일부 구현예에서, 과량의 제2 반응물 및 임의의 반응 부산물이 기판 표면의 근방으로부터 제거된다(140). 제2 반응물 및 임의의 반응 부산물은 퍼지 가스 및/또는 진공의 도움으로 기판 표면의 근방으로부터 제거될 수 있다. 일부 구현예에서, 과량의 반응물 및/또는 반응 부산물은, 예를 들어 불활성 가스로 퍼지함으로써 반응 공간으로부터 제거된다. 일부 구현예에서, 제거는 약 0.1초 내지 10초, 약 0.1초 내지 약 4초 또는 약 0.1초 내지 약 0.5초 동안 수행될 수 있다. 일부 구현예에서, 반응물 및/또는 반응 부산물의 제거를 용이하게 하기 위해, 기판은, 예를 들어 다른 반응 챔버 또는 반응 챔버의 별도 부분으로 기판을 옮김으로써, 이동할 수 있다.
증착 사이클의 SiOC 증착 부분인 제1 및 제2 페이즈(110, 120, 130, 140)는 전체 SiOC 형성 사이클(100)의 제3(150, 160) 및/또는 제4(170) 페이즈 시작하기 전에 연속적으로 1회, 2회, 또는 그 이상 반복될 수 있다(180). 예를 들어, 제1 및 제2 페이즈는, 원하는 두께의 SiOC 층이 유전체 표면 상에 형성될 때까지 반복될 수 있다.
SiOC 증착은 금속 표면에 대해 유전체 또는 저-유전율 표면 상에서 선택적이지만, 일부 구현예에서 SiOC의 오버행은 금속 표면 상에 존재할 수 있다. 이는 도 2a에 나타나 있고, 구리 표면 상에 SiOC의 오버행을 형성하면서, 구리에 대해 저-유전율 재료 상의 SiOC의 증착을 보여준다. 오버행은 하나 이상의 증착 사이클에서 후술하는 바와 같이, 제3 및/또는 제4 페이즈를 포함함으로써 감소되거나 제거될 수 있다.
비록 제1 페이즈 및 제2 페이즈로 지칭되지만, SiOC 막을 형성하기 위한 일부 구현예에서, 하나 이상의 SiOC 증착 사이클(100)은, 기판을 실리콘 전구체, 이후에 제2 전구체를와 접촉시킴으로써 제1 페이즈를 시작한다. 다른 구현예에서, 하나 이상의 SiOC 증착 사이클(100)은, 기판을 제2 반응물, 이후에 실리콘 전구체와 접촉시킴으로써 제2 페이즈를 시작할 수 있다.
제3 페이즈(150, 160)에서, 기판은 플라즈마를 포함한 반응물과 접촉할 수 있다(150). 예를 들어, 기판은, Ar 플라즈마와 같이, 귀가스 내에 발생된 플라즈마와 접촉할 수 있다. 일부 구현예에서, 플라즈마는 방향성이고, 유전체 표면 상에 형성된 SiOC에 비해 금속 표면 상에 형성된 SiOC를 우선적으로 공격한다. 이는 도 2a의 우측 패널에 도시되어 있고, 화살표는 표면의 플라즈마 처리를 나타낸다.
플라즈마 전력 및 지속시간은 금속 표면 상의 SiOC 오버행의 우선적인 타겟화를 증가시키기 위해 조정될 수 있다. 일부 구현예에서, 제3 페이즈는 유전체 표면에 대해 금속 표면으로부터 SiOC를 우선적으로 제거한다.
일부 구현예에서, 과량의 제3 반응물 및 반응 부산물은, 예컨대 퍼지 가스 및/또는 진공의 도움으로, 또는 기판을 다른 반응 챔버 또는 반응 챔버의 별도의 부분으로 이동시킴으로써 기판 표면의 근방으로부터 제거될 수 있다(160). 일부 구현예에서, 제거는 약 0.1초 내지 10초, 약 0.1초 내지 약 4초 또는 약 0.1초 내지 약 0.5초 동안 수행될 수 있다. 제3 페이즈는 각각의 완전 증착 사이클(100)에서 2회 이상 반복될 수 있다(190). 일부 구현예에서, 제3 페이즈는 각각의 증착 사이클에 포함될 수 있다. 일부 구현예에서, 제3 페이즈는 증착 사이클마다 포함되지 않지만 증착 공정에서 1회 이상 제공된다.
선택적인 제4 페이즈(170)는, 예를 들어 제3 페이즈에서 원하는 정도로 제거되지 않는 경우, 금속 표면으로부터 SiOC를 더 식각하기 위해 포함될 수 있다. 제4 페이즈에서, 기판은 에천트와 접촉하여 유전체 표면에 대해 금속 표면 위로부터 SiOC를 우선적으로 제거한다(170). 이는 도 2b에 나타나 있고, 증착된 SiOC 막의 상부 표면에 대해 오버행의 우선적 식각을 나타낸다. 일부 구현예에서, 에천트는 방향성이 있다. 제4 페이즈는, 예를 들어 제3페이즈에서의 플라즈마 처리가 금속 표면으로부터 오버행을 원하는 수준만큼 달성하는 데 충분하지 않은 경우, SiOC 형성 사이클(100)에 포함될 수 있다. 제4 페이즈는 매 사이클(100)마다 포함될 수 있다. 일부 구현예에서, 제4 페이즈는 사이클(100)마다 포함되지 않지만 SiOC 증착 공정에서 1회 이상 제공된다. 예를 들어, 제4 페이즈는, 처음 세 개의 페이즈만 포함하는 사이클의 특정 횟수의 반복 후에, 예컨대, 매 1개, 2개, 3개, 4개, 5개 또는 그 이상의 이러한 증착 사이클 이후에 포함될 수 있다.
일부 구현예에서, 에천트는 반응성 가스, 예컨대 CF4, SF6, HF, Cl2 또는 NF3일 수 있다. 일부 구현예에서, 습식 식각은 금속 표면으로부터 SiOC 오버행을 우선적으로 제거하는 데 사용된다. 예를 들어, 희석 HF에서의 식각은 금속 표면으로부터 SiOC를 우선적으로 제거하는 데 사용될 수 있다. 에천트는 금속 표면으로부터 SiOC를 우선적으로 제거하고, 예를 들어 도 2b에 나타낸 바와 같이, 유전체 표면 상에 원하는 SiOC 구조를 남긴다.
일부 구현예에서, 에천트는, 예를 들어 CF4, SF6, HF, Cl2 또는 NF3와 같은 할라이드 가스에서 생성된 플라즈마를 포함할 수 있다. 플라즈마 전력 및 시간은 원하는 양의 식각을 달성하도록 선택될 수 있다. 식각 플라즈마는 방향성일 수 있다. 식각 플라즈마는, 유전체 표면 상에 증착된 SiOC의 벌크 부분에 대해 금속 표면 상에 SiOC를 우선적으로 공격하여, 도 2b에 나타낸 바와 같이 원하는 구조를 남긴다.
SiOC 막의 조성과 선택도를 조절하기를 원할 때에, 추가적인 페이즈가 추가될 수 있고 제거될 수 있다.
전체 SiOC 형성 사이클은, 유전체 표면에 대해 금속 표면 상에 원하는 양의 SiOC를 달성하기 위해 2회, 3회 이상 반복될 수 있다(200).
일부 구현예에서, 페이즈 중 2개는 중첩되거나 조합될 수 있다. 예를 들어, 부분적으로 또는 완전히 중첩되는 페이즈에서, 실리콘 전구체 및 제2 반응물은 동시에 기판과 접촉할 수 있다. 또한, 페이즈의 순서는 변할 수 있고, ALD 사이클은 페이즈 중 임의의 하나로 시작할 수 있다. 즉, 달리 지정되지 않는 한, 반응물은 임의의 순서로 기판과 접촉할 수 있고, 공정은 임의의 반응물로 시작할 수 있다.
일부 구현예에 따른 완전한 PEALD 사이클은 다음과 같이 기재될 수 있다:
[Si 반응물 + Ar/H2 플라즈마] x N + [Ar 플라즈마] x M + [에천트] x Y, 여기서 N, M 및 Y는 독립적으로 선택될 수 있는 정수이다. 일부 구현예에서, 완전한 증착 공정에서 N, M 및 Y중 어느 하나는 하나 이상의 증착 사이클에서 0일 수 있다.
선택적 SiOC 증착은 반응 공간 또는 반응기의 기판 상에서 일어난다. 일부 구현예에서, 4개의 페이즈 각각은 동일한 반응 공간 및/또는 반응기에서 일어난다. 반응기는 집적 회로의 형성에 있어 다양하게 상이한 공정이 수행되는 클러스터 툴의 일부일 수 있다. 일부 구현예에서, 유동형 ALD 반응기가 사용된다. 일부 구현예에서, 샤워헤드형 ALD 반응기가 사용된다. 일부 구현예에서, 공간 분할 반응기가 사용된다. 일부 구현예에서, 대량 생산이 가능한 단일 웨이퍼 ALD 반응기가 사용된다. 다른 구현예에서, 다수의 기판을 포함하는 배치식 반응기가 사용된다. 배치식 ALD 반응기가 사용되는 구현예에서, 기판의 수는 10 내지 200개, 50 내지 150개, 100 내지 130개 범위일 수 있다.
일부 구현예에서, 필요한 경우, 기판의 노출 표면은 ALD 공정의 제1 페이즈와 반응하기 위한 반응성 부위를 제공하기 위해 전처리될 수 있다. 일부 구현예에서, 별도의 전처리 단계가 요구되지 않는다. 일부 구현예에서, 기판은 하나 이상의 표면 상에 원하는 표면 종결을 제공하기 위해 전처리된다. 예를 들어, 기판은 유전체 표면 상에 표면 종결을 제공하여 선택도를 향상시킬 수 있다. 일부 구현예에서, 기판은 전처리되어 유전체 표면 상의 증착 선택도를 증가시키기 위해 금속 표면 상에 방지 또는 패시베이션 층을 제공할 수 있다.
전술한 바와 같이, 일부 구현예에서, 증착 사이클의 하나 이상의 페이즈 동안, 또는 전체 증착 사이클 동안, 또는 심지어 전체 증착 공정 내내, 가스는 반응 챔버에 연속적으로 제공된다. 반응성 종은 반응 챔버 내 또는 반응 챔버의 상류에서 가스내 플라즈마를 발생시킴으로써 제공될 수 있다. 일부 구현예에서, 가스는 질소를 포함하지 않는다. 일부 구현예에서, 가스는 아르곤 또는 헬륨과 같은 귀가스를 포함할 수 있다. 일부 구현예에서, 가스는 아르곤이다. 흐름 가스는 퍼지 가스로서 역할을 할 수도 있다. 예를 들어, 아르곤 흐름은 제1 실리콘 전구체에 대한 퍼지 가스로서 작용할 수 있고 (반응성 종의 공급원으로서의) 반응성 종 제2 반응물 및 제3 반응물로 작용할 수도 있다. 일부 구현예에서, 아르곤은, 실리콘 전구체를 SiOC 막으로 변환하기 위한 제1 전구체 및 여기된 종의 공급원에 대한 퍼지 가스로서, 그리고 플라즈마 처리, 예컨대 전술한 바와 같이 제2 및 제3 페이즈에 대한 반응성 종의 공급원으로서 작용할 수 있다.
일부 구현예에서, 전구체 유속, 접촉 시간, 제거 시간, 반응물 자체, 플라즈마 전력, 반응 챔버 온도 및 서셉터 온도와 같은 증착 파라미터는, 금속 표면에 대해 유전체 표면 상에 원하는 특성을 갖는 SiOC 막을 선택적으로 형성하기 위해 선택될 수 있다. 특히, 이들 파라미터 중 하나 이상은 기판의 금속 표면 상에 SiOC의 증착을 감소시키거나, 최소화하거나 방지하고/방지하거나 SiOC를 금속 표면으로부터 우선적으로 제거하기 위해 선택될 수 있다.
일부 구현예에서, 플라즈마, 예를 들어 수소 및 아르곤 함유 플라즈마, 아르곤 플라즈마, 또는 식각 플라즈마는 약 5 W 내지 약 5000 W, 10W 내지 약 2000 W, 약 50 W 내지 약 1000 W, 약 200 W 내지 800 W의 RF 전력을 인가함으로써 생성될 수 있다. 일부 구현예에서, RF 전력 밀도는 약 0.02 W/cm2 내지 약 2.0 W/cm2, 또는 약 0.05 W/cm2 약 1.5 W/cm2일 수 있다. RF 전력은, 플라즈마 접촉 시간 동안 유동하고, 반응 챔버를 통해 연속적으로 유동하고/하거나 원격식 플라즈마 발생기를 통해 유동하는 반응물 가스에 인가될 수 있다. 따라서, 일부 구현예에서 플라즈마는 인 시츄로 생성되는 반면, 다른 구현예에서 플라즈마는 원격식으로 생성된다. 일부 구현예에서, 샤워헤드 반응기가 활용되고, 플라즈마는 서셉터(그 상부에 기판이 위치함)와 샤워헤드 플레이트 사이에서 생성된다. 일부 구현예에서, 서셉터와 샤워헤드 플레이트 사이의 간격은 약 0.1 cm 내지 약 20 cm, 약 0.5 cm 내지 약 5 cm, 또는 약 0.8 cm 내지 약 3.0 cm이다.
일부 구현예에 따라, PEALD 반응은 약 25℃ 내지 약 700℃, 약 50℃ 내지 약 600℃, 약 100℃ 내지 약 450℃, 약 200℃ 내지 약 400℃ 범위의 온도에서 수행될 수 있다. 일부 구현예에서, 최적 반응기 온도는 최대 허용 열적 부담에 의해 제한될 수 있다. 따라서 일부 구현예에서, 반응 온도는 약 100℃ 내지 약 300℃이다. 일부 응용 분야에서, 최대 온도는 약 200℃이고, 따라서 PEALD 공정은 그 반응 온도에서 실행된다. 일부 구현예에서, 서셉터 온도는 반응 공간 온도와 동일하다. 일부 구현예에서, 상기 서셉터 온도는 반응 공간 온도의 100℃ 이내 또는 50℃ 이내이다.
본 개시의 일부 구현예에 따라, 공정 처리 동안의 반응 챔버 압력은 약 0.01 토르 내지 약 50 토르, 또는 약 0.1 토르 내지 약 10 토르로 유지된다. 일부 구현예에서, 반응 챔버의 압력은 약 6 토르 또는 약 20 토르를 초과한다. 일부 구현예에서, SiOC 증착 공정은 약 20 토르 내지 약 500 토르, 약 20 토르 내지 약 50 토르, 또는 약 20 토르 내지 약 30 토르의 압력으로 수행될 수 있다.
일부 구현예에서, SiOC 증착 공정은 복수의 증착 사이클을 포함할 수 있으며, 적어도 하나의 증착 사이클은 상승된 압력 영역에서 수행된다. 예를 들어, PEALD 공정의 증착 사이클은 상승된 압력 하에서 실리콘 전구체 및 제2 반응물과 기판을 교대 순차적으로 접촉시키는 단계를 포함할 수 있다. 일부 구현예에서, PEALD 공정의 하나 이상의 증착 사이클은 약 6 토르 내지 약 500 토르, 약 6 토르 내지 약 50 토르, 또는 약 6 토르 내지 약 100 토르의 공정 압력으로 수행될 수 있다. 일부 구현예에서, 하나 이상의 증착 사이클은 약 20 토르 내지 약 500 토르, 약 30 토르 내지 약 500 토르, 또는 약 40 토르 내지 약 500 토르, 또는 약 50 토르 내지 약 500 토르를 포함하는 약 20 토르 초과의 공정 압력으로 수행될 수 있다. 일부 구현예에서, 하나 이상의 증착 사이클은 약 20 토르 내지 약 30 토르, 약 20 토르 내지 약 100 토르, 또는 약 30 토르 내지 약 100 토르, 또는 약 40 토르 내지 약 100 토르, 또는 약 50 토르 내지 약 100 토르의 공정 압력으로 수행될 수 있다.
도 4를 참조하고 일부 구현예에 따라, SiOC 박막은, 반응 공간에서 기판의 금속 표면에 대해 유전체 표면 상에, 적어도 하나의 사이클을 포함한 PEALD 증착 공정(300)에 의해서 선택적으로 증착되며, 상기 적어도 하나의 사이클은,
실리콘 종이 기판 표면 상으로 흡착되도록, 단계(310)에서 MPTMS를 포함한 기상 실리콘 함유 전구체와 기판을 접촉시키는 단계;
단계(320)에서 과량의 실리콘 함유 전구체와 반응 부산물이 있는 경우, 이를 기판 표면으로부터 제거하는 단계;
단계(330)에서 H2 및 Ar 플라즈마를 포함한 제2 반응물과 기판을 접촉시킴으로써, 흡착된 실리콘 종을 SiOC로 전환시키는 단계;
단계(340)에서 과량의 제2 반응물과 반응 부산물이 있는 경우, 이를 기판 표면으로부터 제거하는 단계; 및
단계(380)에서 원하는 두께와 조성의 SiOC 박막을 형성하기 위해 접촉 및 제거 단계를 선택적으로 반복하는 단계를 포함한다.
계속해서 도 4를 참조하면, 증착된 SiOC는 단계(350)에서 Ar 플라즈마와 접촉하여 처리된다. Ar 플라즈마는 금속 표면으로부터 SiOC를 우선적으로 제거할 수 있고 또한 후속 식각 공정에 더 민감한 금속 표면 위에 SiOC를 만들 수 있다. 과량의 Ar 플라즈마 및 반응 부산물이 있는 경우, 단계(360)에서, 예를 들어 플라즈마 전력을 차단하고 Ar 가스를 계속 흐르게 함으로써, 이를 기판 표면으로부터 제거할 수 있다. Ar 플라즈마 처리는 순차로 1회, 2회, 또는 그 이상 반복될 수 있다(390). 플라즈마 처리 단계(350)는 각각의 증착 사이클에 포함될 수 있거나, 하나 이상의 증착 사이클에서 간헐적으로 제공될 수 있다.
선택적인 식각 공정(370)에서, 기판은, 할라이드 가스에서 발생된 플라즈마와 같은 에천트와 접촉할 수 있다. 식각 공정은 유전체 표면에 대해 금속 표면 위로부터 추가 SiOC를 우선적으로 제거할 수 있다. 식각 공정(370)은 매 증착 사이클(300)마다 또는 간헐적으로 하나 이상의 증착 사이클에 포함될 수 있다.
증착 사이클(300)은 금속 표면에 대해 유전체 표면 상에 SiOC 층을 선택적으로 형성하기 위해 1회, 2회, 또는 그 이상 반복된다(400).
다수의 상이하고 적절한 Si 전구체가 현재 개시된 PEALD 공정에 사용될 수 있다. 일부 구현예에서, 적합한 실리콘 전구체는 질소를 포함하지 않을 수 있다. 일부 구현예에서, 적합한 Si 전구체는 MPTMS를 포함할 수 있다. 일부 구현예에서, 실리콘 전구체는 2017년 5월 5일에 출원된 미국 특허 출원 제15/588,026호에 기술된 실리콘 전구체이며, 그 전체가 본원에 참조로 포함된다.
일부 구현예에서, 하나 이상의 실리콘 전구체는 ALD 페이즈 동안 기판 표면과 동시에 접촉할 수 있다. 일부 구현예에서, 실리콘 전구체는 본원에 기술된 실리콘 전구체를 하나 이상 포함할 수 있다. 일부 구현예에서, 제1 실리콘 전구체는 제1 ALD 사이클에서 사용되고, 제2의 상이한 실리콘 전구체는 후속 ALD 사이클에서 사용된다. 일부 구현예에서, 다수의 실리콘 전구체는 단일 ALD 페이즈 중에 사용될 수 있고, 예를 들어 증착된 SiOC 막의 특정 특성을 최적화한다. 일부 구현예에서, 하나의 실리콘 전구체만이 증착 동안 기판과 접촉할 수 있다. 일부 구현예에서, 증착 공정에서 하나의 실리콘 전구체, 및 하나의 제2 반응물 또는 제2 반응물의 조성만이 존재할 수 있다. 일부 구현예에서, 증착 공정에는 금속 전구체가 없다. 일부 구현예에서, 실리콘 전구체는 실릴화제로서 사용되지 않는다. 일부 구현예에서, 증착 온도 및/또는 실리콘 전구체 접촉 단계의 지속 시간은 실리콘 전구체가 분해되지 않도록 선택된다. 일부 구현예에서, 실리콘 전구체는 실리콘 전구체 접촉 단계 동안 분해될 수 있다. 일부 구현예에서, 실리콘 전구체는 염소 또는 불소와 같은 할로겐을 포함하지 않는다.
일부 구현예에서, SiOC 막은 약 3 nm 내지 약 50 nm, 약 5 nm 내지 약 30 nm, 약 5 nm 내지 약 20 nm의 두께로 증착된다. 이들 두께는 약 100 nm 미만, 약 50 nm 미만, 약 30 nm 미만, 약 20 nm 미만, 및 일부 경우에는 약 15 nm 미만의 특징부 크기(폭)로 달성될 수 있다. 일부 구현예에 따라, SiOC 막은 3차원 구조 상에 증착되고, 측벽에서의 두께는 10 nm보다 약간 더 두꺼울 수 있다. 일부 구현예에서, 50 nm를 초과하는 SiOC 막이 증착될 수 있다. 일부 구현예에서, 100 nm를 초과하는 SiOC 막이 증착될 수 있다. 일부 구현예에서, SiOC 막은 약 1 nm 초과, 약 2 nm 초과, 약 3 nm 초과, 약 5 nm 초과, 약 10 nm 초과의 두께로 증착된다.
일부 구현예에 따라, 차등 습식 식각 속도(WER)를 갖는 SiOC 막이 증착될 수 있다. 일부 구현예에서, 본원에 기술된 하나 이상의 공정에 따라 형성된 SiOC는, 실질적으로 수직인 영역의 WER 대 실질적으로 수평인 영역의 WER의 비율을 약 1을, 예를 들어 0.5 wt% dHF에서 나타낼 수 있다. 예를 들어, 기판 표면 상의 3차원 구조의 실질적으로 수직인 표면(예, 측벽 표면)에 걸쳐 형성된 SiOC 박막의 습식 식각 속도 대 실질적으로 수평인 표면(예, 상부 표면)에 걸쳐 형성된 SiOC 박막의 습식 식각 속도의 비율은 동일하거나 실질적으로 동일할 수 있다. 일부 구현예에서, 비율은 약 4 내지 약 0.5, 약 2 내지 약 0.75, 약 1.25 내지 약 0.8, 또는 약 1.1 내지 약 0.9일 수 있다. 이들 비율은 약 2 이상, 약 3 이상, 약 5 이상 또는 심지어 약 8 이상의 종횡비를 갖는 특징부에서 달성될 수 있다.
일부 구현예에서, 증착된 SiOC 박막은 원자 기준(원자%)으로 최대 약 40%의 탄소를 함유할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 약 0.1% 내지 약 40%, 약 0.5% 내지 약 40%, 약 1% 내지 약 30%, 또는 약 5% 내지 약 20%의 탄소를 포함할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 적어도 약 1%, 약 10% 또는 약 20%의 탄소를 포함할 수 있다.
일부 구현예에서, 증착된 SiOC 박막은 원자 기준(원자%)으로 최대 약 50%의 실리콘을 함유할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 약 10% 내지 약 50%, 약 15% 내지 약 40%, 또는 약 20% 내지 약 35%의 실리콘을 포함할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 적어도 약 15%, 약 20%, 약 25%, 또는 약 30%의 실리콘을 포함할 수 있다.
일부 구현예에서, 증착된 SiOC 박막은 원자 기준(원자%)으로 최대 약 40%의 황을 함유할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 약 0.01% 내지 약 40%, 약 0.1% 내지 약 40%, 약 0.5% 내지 약 30%, 또는 약 1% 내지 약 20%의 황을 포함할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 적어도 약 1%, 약 10% 또는 약 20%의 황을 포함할 수 있다. 일부 구현예에서, 증착된 SiOC 막은 상당한 양의 질소를 포함하지 않는다. 그러나, 일부 구현예에서, 질소를 포함하는 SiOC 막이 증착된다. 일부 구현예에서, 증착된 SiOC 막은 약 30 원자% 미만, 약 20 원자% 미만, 약 15 원자% 미만, 약 10 원자% 미만, 약 5 원자% 미만, 약 1 원자% 미만, 또는 약 0.1 원자% 미만의 질소를 포함한다. 일부 구현예에서, SiOC 박막은 질소를 포함하지 않는다.
본원에서 제시되는 모든 원자 백분율(즉, 원자%) 값은 단순화를 위해 수소를 배제하는데, 달리 표시하지 않는 한, 이는 수소를 정확하게 양론적으로 분석하기가 어렵기 때문이다. 그러나, 일부 구현예에서, 유의미한 정확도로 수소를 분석하는 것이 가능하면, 막의 수소 함량은 약 20 원자% 미만, 약 10 원자% 미만 또는 약 5 원자% 미만이다. 일부 구현예에서, 증착된 SiOC 박막은 원자 기준(원자%)으로 최대 약 70%의 산소를 함유할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 약 10% 내지 약 70%, 약 15% 내지 약 50%, 약 20% 내지 약 40%의 산소를 포함할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 적어도 약 20%, 약 40% 또는 약 50%의 산소를 포함할 수 있다.
일부 구현예에서, SiOC 막은 SiN, SiO, SiC, SiCN, SiON, SiOSC, SiSC, SiOS, 및/또는 SiOC 중 하나 이상을 포함할 수 있다. 일부 구현예에서, 개시된 방법에 따라 증착된 SiOC 막은 적층체 또는 나노적층체 구조를 포함하지 않는다.
일부 구현예에서, SiOC 막은 저-유전율 막이 아니고, 예를 들어 SiOC 막은 다공성 막이 아니다. 일부 구현예에서, SiOC는 연속적인 막이다. 일부 구현예에서, SiOC막은 약 10 미만의 k 값을 갖는다. 일부 구현예에서, SiOC막은 약 7 미만의 k 값을 갖는다. 일부 구현예에서, SiOC 막은 약 2 내지 약 10의 k 값을 갖는다. 일부 구현예에서, SiOC 막은 약 5.0 미만, 약 4.5 미만, 약 4.3 미만, 약 4.1 미만의 k 값을 갖는다. 일부 구현예에서, SiOC 막은 약 3.0 내지 약 7, 약 3.0 내지 약 5.5, 약 3.0 내지 약 5.0, 약 3.5 내지 약 4.8, 약 3.5 내지 약 4.7의 k 값을 갖는다. 일부 구현예에서, SiOC 막은, 임의의 저 유전율 막의 k 값보다 더 큰 k 값을 갖는다. 일부 구현예에서, SiOC 막은 순수 SiO2보다 더 큰 k 값을 갖는다.
당업자는 본 발명의 사상을 벗어나지 않고, 다수의 그리고 다양한 변형이 이루어질 수 있음을 이해할 것이다. 설명된 특징부, 구조물, 특징 및 전구체는 임의의 적절한 방식으로 조합될 수 있다. 따라서, 본 발명의 형태는 단지 예시적인 것이며 본 발명의 범주를 한정하도록 의도된 것이 아니라는 것을 분명히 이해해야 한다. 모든 변형 및 변경은 첨부된 청구범위에 의해 정의된 본 발명의 범주에 속하는 것으로 의도된다.

Claims (20)

  1. 플라즈마 강화 원자층 증착(PEALD) 공정에 의해 기판의 제2 표면에 대해 상기 기판의 유전체 표면 상에 실리콘 옥시카바이드(SiOC) 박막을 선택적으로 형성하는 방법으로서, 상기 PEALD 공정은 적어도 하나의 증착 사이클을 포함하고, 상기 적어도 하나의 증착 사이클은,
    기상 실리콘 전구체와 상기 기판을 접촉시키는 단계;
    반응성 수소 종을 포함한 제2 반응물과 상기 기판을 접촉시키는 단계;
    귀 가스에서 발생된 플라즈마와 상기 기판을 접촉시키는 단계; 및
    에천트와 상기 기판을 접촉시키는 단계; 및
    원하는 두께의 실리콘 옥시카바이드 막이 상기 유전체 표면 상에 형성될 때까지 상기 접촉 단계를 반복하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 제2 표면은 금속 표면인, 방법.
  3. 제1항 또는 제2항에 있어서, 귀 가스에서 발생된 플라즈마와 상기 기판이 접촉하는 경우, 상기 플라즈마는 Ar 가스에서 발생되는, 방법.
  4. 제1항 또는 제2항에 있어서, 상기 반응성 수소 종은 수소 플라즈마인, 방법.
  5. 제1항 또는 제2항에 있어서, 상기 에천트는 희석 HF를 포함하는, 방법.
  6. 제1항 또는 제2항에 있어서, 상기 에천트는 할라이드 가스에서 발생된 플라즈마를 포함하는, 방법.
  7. 제1항 또는 제2항에 있어서, 상기 에천트는 기상 할로겐을 포함하는, 방법.
  8. 제1항 또는 제2항에 있어서, 상기 제2 반응물은 수소 및 아르곤 플라즈마를 포함하는, 방법.
  9. 제8항에 있어서, 상기 플라즈마는 H2와 Ar을 포함한 가스에서 발생되는, 방법.
  10. 제9항에 있어서, 상기 가스 흐름은 상기 증착 사이클 내내 연속적으로 흐르는, 방법.
  11. 제1항 또는 제2항에 있어서, 상기 기상 실리콘 전구체는 3-메톡시프로필트리메톡시실란(MPTMS)을 포함하는, 방법.
  12. 반응 공간에서 기판의 금속 표면에 대해 상기 기판의 유전체 표면 상에 실리콘 옥시카바이드 박막을 선택적으로 형성하는 방법으로서, 복수의 증착 사이클을 포함하고, 상기 적어도 하나의 증착 사이클은,
    3-메톡시프로필트리메톡시실란(MPTMS)을 포함한 실리콘 전구체와 상기 기판의 금속 및 유전체 표면을 접촉시키는 단계;
    H2 및 Ar을 포함한 가스 내에서 발생된 제1 플라즈마와 상기 기판의 금속 및 유전체 표면을 접촉시키는 단계;
    아르곤 가스 내에서 발생된 제2 플라즈마와 상기 기판의 금속 및 유전체 표면을 접촉시키는 단계; 및
    상기 기판의 금속과 유전체 표면을 에천트와 접촉시키는 단계를 포함하되,
    상기 증착 사이클은 2회 이상 반복되어 상기 SiOC 박막을 형성하는, 방법.
  13. 제12항에 있어서, 상기 H2 및 Ar을 포함한 가스는 산소를 포함하지 않는, 방법.
  14. 제12항 또는 제13항에 있어서, 상기 H2 및 Ar을 포함한 가스는 질소를 포함하지 않는, 방법.
  15. 제12항 또는 제13항에 있어서, 상기 제1 플라즈마는 5 와트(W) 내지 약 5000 W의 RF 전력을 상기 제2 반응물에 인가함으로써 발생되는, 방법.
  16. 제12항 또는 제13항에 있어서, 약 100 내지 약 300℃의 공정 온도에서 상기 증착 사이클을 수행하는 방법.
  17. 제12항 또는 제13항에 있어서, 상기 에천트는 희석 HF를 포함하는, 방법.
  18. 제12항 또는 제13항에 있어서, 상기 에천트는 플라즈마를 포함하는, 방법.
  19. 제18항에 있어서, 상기 에천트는 할라이드 가스에서 발생된 플라즈마를 포함하는, 방법.
  20. 제12항 또는 제13항에 있어서, 상기 실리콘 전구체와 상기 기판의 금속과 유전체 표면을 접촉시키고 상기 제1 플라즈마와 상기 기판의 금속과 유전체 표면을 접촉시키는 단계는, 상기 제2 플라즈마 및 상기 에천트와 상기 기판의 금속과 유전체 표면을 접촉시키기 전에 순차적으로 2회 이상 반복되는, 방법.
KR1020200132514A 2019-10-31 2020-10-14 SiOC 박막의 선택적 증착 KR20210053190A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962928978P 2019-10-31 2019-10-31
US62/928,978 2019-10-31

Publications (1)

Publication Number Publication Date
KR20210053190A true KR20210053190A (ko) 2021-05-11

Family

ID=75686370

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200132514A KR20210053190A (ko) 2019-10-31 2020-10-14 SiOC 박막의 선택적 증착

Country Status (2)

Country Link
US (3) US11139163B2 (ko)
KR (1) KR20210053190A (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11990369B2 (en) 2021-08-20 2024-05-21 Applied Materials, Inc. Selective patterning with molecular layer deposition

Family Cites Families (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
WO2001088972A1 (en) 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
CN102312214B (zh) 2002-11-15 2013-10-23 哈佛学院院长等 使用脒基金属的原子层沉积
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
EP2191034B1 (en) 2007-09-14 2013-03-13 Sigma-Aldrich Co. LLC Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl triamino zirconium precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
EP2222889A4 (en) 2007-11-06 2010-12-29 Hcf Partners L P ATOM LOCATION SEPARATION PROCESS
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009297A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
MX2012001115A (es) 2009-07-31 2012-03-21 Akzo Nobel Chemicals Int Bv Proceso para la preparacion de un sustrato recubierto, el sustrato recubierto, y uso del mismo.
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
KR101386944B1 (ko) 2010-07-01 2014-04-18 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101995602B1 (ko) 2011-06-03 2019-07-02 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10325773B2 (en) * 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20150036122A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
WO2014119693A1 (ja) 2013-01-31 2014-08-07 大日本印刷株式会社 電子線硬化性樹脂組成物、リフレクター用樹脂フレーム、リフレクター、半導体発光装置、及び成形体の製造方法
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
CN110323268B (zh) 2013-06-28 2023-01-03 英特尔公司 基于选择性外延生长的iii-v族材料的器件
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2015042486A1 (en) 2013-09-20 2015-03-26 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
US9530733B2 (en) 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
WO2015094305A1 (en) 2013-12-19 2015-06-25 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
SG11201606451QA (en) 2014-03-28 2016-09-29 Intel Corp Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
TWI694167B (zh) 2015-05-01 2020-05-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
WO2016204772A1 (en) 2015-06-18 2016-12-22 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
JP6998945B2 (ja) 2016-10-02 2022-01-18 アプライド マテリアルズ インコーポレイテッド ルテニウムライナーと共に銅のエレクトロマイグレーションを改善するドープされた選択的な金属キャップ
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10847363B2 (en) 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Also Published As

Publication number Publication date
US11664219B2 (en) 2023-05-30
US20230260782A1 (en) 2023-08-17
US20210398797A1 (en) 2021-12-23
US11139163B2 (en) 2021-10-05
US20210134586A1 (en) 2021-05-06

Similar Documents

Publication Publication Date Title
US11996284B2 (en) Formation of SiOCN thin films
KR102524573B1 (ko) SiOCN 박막들의 형성
CN110408906B (zh) 使用硅氢卤化物前体的SiN的等离子体增强原子层沉积(PEALD)
KR102627238B1 (ko) 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US11501965B2 (en) Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10186420B2 (en) Formation of silicon-containing thin films
TWI810617B (zh) 形成及沈積碳氧化矽薄膜的方法
TWI686499B (zh) 金屬、金屬氧化物與介電質的選擇性沉積
JP6202798B2 (ja) 酸化アンチモン膜の原子層堆積
US11664219B2 (en) Selective deposition of SiOC thin films
KR102287788B1 (ko) 알루미늄 및 질소 함유 재료의 선택적 증착