KR20160084386A - 반도체 제조 컴포넌트들을 위한 고순도 금속성 탑 코트 - Google Patents

반도체 제조 컴포넌트들을 위한 고순도 금속성 탑 코트 Download PDF

Info

Publication number
KR20160084386A
KR20160084386A KR1020167012172A KR20167012172A KR20160084386A KR 20160084386 A KR20160084386 A KR 20160084386A KR 1020167012172 A KR1020167012172 A KR 1020167012172A KR 20167012172 A KR20167012172 A KR 20167012172A KR 20160084386 A KR20160084386 A KR 20160084386A
Authority
KR
South Korea
Prior art keywords
component
coating
cold spray
alloy
aluminum
Prior art date
Application number
KR1020167012172A
Other languages
English (en)
Inventor
제니퍼 와이. 선
바히드 피로우즈도르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160084386A publication Critical patent/KR20160084386A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/34Anodisation of metals or alloys not provided for in groups C25D11/04 - C25D11/32
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/02Coating starting from inorganic powder by application of pressure only
    • C23C24/04Impact or kinetic deposition of particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/321Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer with at least one metal alloy layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • C23C28/3455Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer with a refractory ceramic layer, e.g. refractory metal oxide, ZrO2, rare earth oxides or a thermal barrier system comprising at least one refractory oxide layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/16Pretreatment, e.g. desmutting
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/18After-treatment, e.g. pore-sealing
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/26Anodisation of refractory metals or alloys based thereon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12743Next to refractory [Group IVB, VB, or VIB] metal-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/1275Next to Group VIII or IB metal-base component
    • Y10T428/12757Fe
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12764Next to Al-base component

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Electrochemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 에칭을 위해 반도체 챔버에서 사용하기 위한 컴포넌트를 코팅하기 위한 방법은, 반도체 제조 챔버에서 사용하기 위한 컴포넌트를 제공하는 단계; 컴포넌트를 증착 챔버 내로 로딩(loading)하는 단계; 컴포넌트 상에 코팅을 형성하기 위해, 컴포넌트 상에 금속 분말(metal powder)을 콜드 스프레이 코팅(cold spray coating)하는 단계; 및 양극산화(anodization) 층을 형성하기 위해, 코팅을 양극산화하는(anodizing) 단계를 포함한다.

Description

반도체 제조 컴포넌트들을 위한 고순도 금속성 탑 코트{HIGH PURITY METALLIC TOP COAT FOR SEMICONDUCTOR MANUFACTURING COMPONENTS}
[0001] 본 개시내용의 실시예들은 일반적으로, 반도체 제조 컴포넌트들 상의 금속성 코팅(metallic coating)들 및 금속성 코팅을 기판에 적용하기(applying) 위한 프로세스에 관한 것이다.
[0002] 반도체 산업에서, 디바이스들은, 계속-감소되는 사이즈의 구조들을 생성하는 다수의 제조 프로세스들에 의해 제작된다. 플라즈마 에칭 및 플라즈마 세정 프로세스들과 같은 몇몇 제조 프로세스들은, 기판을 에칭하거나 또는 세정하기 위해, 플라즈마의 고속 스트림에 기판을 노출시킨다. 플라즈마는 고도로 부식성(corrosive)일 수 있고, 플라즈마에 노출되는, 프로세싱 챔버들 및 다른 표면들을 부식시킬 수 있다. 이러한 부식은, 프로세싱되고 있는 기판을 빈번하게 오염시켜서 디바이스 결함들(즉, 입자들 및 금속 오염과 같은 웨이퍼상(on-wafer) 결함들)에 기여하는 입자들을 생성할 수 있다.
[0003] 디바이스 기하형상들이 축소됨에 따라, 결함들에 대한 민감성(susceptibility)이 증가되고, 입자 오염의 허용가능한 레벨들이 감소될 수 있다. 플라즈마 에칭 및/또는 플라즈마 세정 프로세스들에 의해 도입되는 입자 오염을 최소화하기 위해, 플라즈마들에 대해 저항적인(resistant) 챔버 재료들이 개발되어 왔다. 상이한 재료들은, 플라즈마 저항(resistance), 강성(rigidity), 굽힘 강도(flexural strength), 열 충격(thermal shock) 저항 등과 같은 상이한 재료 특성들을 제공한다. 또한, 상이한 재료들은 상이한 재료 비용들을 갖는다. 따라서, 몇몇 재료들은 우수한 플라즈마 저항을 갖고, 다른 재료들은 더 낮은 비용들을 갖고, 또 다른 재료들은 우수한 굽힘 강도 및/또는 열 충격 저항을 갖는다.
[0004] 일 실시예에서, 방법은, 반도체 제조 챔버에서 사용하기 위한 컴포넌트를 제공하는 단계, 컴포넌트를 증착 챔버 내로 로딩(loading)하는 단계, 컴포넌트 상에 코팅을 형성하기 위해, 컴포넌트 상에 금속 분말(metal powder)을 콜드 스프레이 코팅(cold spray coating)하는 단계, 및 양극산화(anodization) 층을 형성하기 위해, 코팅을 양극산화하는(anodizing) 단계를 포함한다.
[0005] 방법은 또한, 코팅을 양극산화하는 단계 이전에, 컴포넌트의 평균 표면 거칠기(roughness)가 약 20 마이크로-인치 미만이 되도록 컴포넌트를 폴리싱(polishing)하는 단계를 포함할 수 있다. 컴포넌트 상에 콜드 스프레이 코팅되는 금속 분말은 약 100 m/s 내지 약 1500 m/s 범위의 속도를 가질 수 있다. 분말은, 질소 또는 아르곤의 캐리어 가스(carrier gas)를 통해 스프레잉될(sprayed) 수 있다.
[0006] 방법은, 콜드 스프레이 코팅하는 단계 이후, 컴포넌트와 코팅 사이에 배리어 층을 형성하기 위해, 컴포넌트를 섭씨 약 200도 내지 섭씨 약 1450도 범위의 온도로 약 30분 초과 동안 가열하는 단계를 포함할 수 있다.
[0007] 코팅은 약 0.1 mm 내지 약 40 mm 범위의 두께를 가질 수 있다. 컴포넌트는, 알루미늄, 알루미늄 합금, 스테인리스 스틸, 티타늄, 티타늄 합금, 마그네슘, 또는 마그네슘 합금을 포함할 수 있다. 금속 분말은, 알루미늄, 알루미늄 합금, 티타늄, 티타늄 합금, 니오븀, 니오븀 합금, 지르코늄, 지르코늄 합금, 구리, 또는 구리 합금을 포함할 수 있다.
[0008] 양극산화 층을 형성하기 위해, 코팅의 약 1 내지 약 50 퍼센트가 양극산화될 수 있다. 컴포넌트는, 샤워헤드, 캐소드 슬리브(cathode sleeve), 슬리브 라이너 도어(sleeve liner door), 캐소드 베이스(cathode base), 챔버 라인(chamber line), 또는 정전 척 베이스일 수 있다.
[0009] 일 실시예에서, 물건(article)은, 플라즈마 에칭을 위해 반도체 제조 챔버에서 사용하기 위한 컴포넌트, 컴포넌트 상의 금속 입자 콜드 스프레이 코팅, 및 코팅으로 형성되는 양극산화 층을 포함한다.
[0010] 본 개시내용은, 유사한 레퍼런스들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도면들에서, 제한이 아닌 예로서 예시된다. 본 개시내용에서 "실시예" 또는 "일 실시예"에 대한 상이한 언급들이 반드시 동일한 실시예에 대한 것은 아니고, 그러한 언급들은 적어도 하나를 의미한다는 것을 주목해야 한다.
[0011] 도 1은 본 발명의 일 실시예에 따른, 기판 상의 코팅을 예시한다.
[0012] 도 2는 본 발명의 일 실시예에 따른, 제조 시스템의 예시적인 아키텍처이다.
[0013] 도 3은 본 발명의 일 실시예에 따른, 기판에 코팅을 적용하는 프로세스를 예시한다.
[0014] 도 4는 본 발명의 일 실시예에 따른, 기판 상의 코팅을 양극산화하는 프로세스를 예시한다.
[0015] 도 5는 본 발명의 일 실시예에 따른, 기판 상에 코팅을 형성하는 방법을 예시한다.
[0016] 본 개시내용의 실시예들은, 반도체 제조 챔버에서 사용하기 위한 컴포넌트와 같은 기판에 코팅을 적용하기 위한 프로세스에 관한 것이다. 반도체 제조 챔버에서 사용하기 위한 컴포넌트는, 컴포넌트 상에 코팅을 형성하기 위해 금속 분말로 콜드 스프레이 코팅될 수 있으며, 코팅은 양극산화 층을 형성하기 위해 양극산화될 수 있다. 금속 분말들의 콜드 스프레이 코팅은, 공격적인 플라즈마 케미스트리(plasma chemistry)들에 대해 증가된 저항을 갖는 고밀도의(dense) 그리고 일치하는(conforming) 코팅을 제공할 수 있다. 코팅은, 챔버 내부에서의 금속 오염 레벨을 감소시키기 위해 고순도 재료들로 형성될 수 있다. 양극산화 층을 갖는 코팅은, 침식에 저항적(erosion resistant)이기 때문에, 반도체 제조 동안 웨이퍼상 결함들을 감소시키고 컴포넌트의 수명을 증가시킬 수 있다. 따라서, 입자 오염의 레벨들이 감소될 수 있다.
[0017] 콜드 스프레이 코팅되는 컴포넌트는, 알루미늄, 알루미늄 합금, 스테인리스 스틸, 티타늄, 티타늄 합금, 마그네슘, 또는 마그네슘 합금으로 형성될 수 있다. 컴포넌트는, 샤워헤드, 캐소드 슬리브, 슬리브 라이너 도어, 캐소드 베이스, 챔버 라인, 정전 척 베이스, 또는 프로세싱 챔버의 다른 컴포넌트일 수 있다. 또한, 컴포넌트는, 코팅을 양극산화하기 전에, 평균 표면 거칠기를 낮추기 위해 폴리싱될 수 있다. 또한, 컴포넌트는, 컴포넌트와 코팅 사이에 배리어 층을 형성하기 위해, 코팅의 콜드 스프레이 코팅 이후 가열될 수 있다.
[0018] 컴포넌트 상에 콜드 스프레이 코팅되는 금속 분말은 약 100 m/s 내지 약 1500 m/s 범위의 속도를 가질 수 있으며, 질소 또는 아르곤의 캐리어 가스를 통해 스프레잉될 수 있다. 코팅은 약 0.1 mm 내지 약 40 mm 범위의 두께를 가질 수 있다. 금속 분말은, 알루미늄, 알루미늄 합금, 티타늄, 티타늄 합금, 니오븀, 니오븀 합금, 지르코늄, 지르코늄 합금, 구리, 또는 구리 합금일 수 있다. 양극산화 층을 형성하기 위해, 코팅의 약 1 내지 50 퍼센트가 양극산화될 수 있다.
[0019] 본원에서 "약" 및 "대략"이라는 용어들이 사용되는 경우, 이들은, 제시되는 공칭(nominal) 값이 ± 10 % 내에서 정확한 것을 의미하도록 의도된다. 또한, 몇몇 실시예들은 반도체 제조를 위해 플라즈마 에칭기(plasma etcher)들에서 사용되는 컴포넌트들과 관련하여 본원에서 설명된다는 것을 주목한다. 하지만, 이러한 플라즈마 에칭기들은 또한, MEMS(micro-electro-mechanical systems) 디바이스들을 제조하는 데에 또한 사용될 수 있다는 것을 이해해야 한다.
[0020] 도 1은 일 실시예에 따른, 코팅을 갖는 컴포넌트(100)를 예시한다. 컴포넌트(100)는, 콜드 스프레이 코팅(104) 및 양극산화 층(108)을 갖는 기판(102)을 포함한다. 일 실시예에서, 기판(102)은 반도체 제조 챔버에서 사용하기 위한 컴포넌트, 이를 테면 샤워헤드, 캐소드 슬리브, 슬리브 라이너 도어, 캐소드 베이스, 챔버 라이너(chamber liner), 정전 척 베이스 등일 수 있다. 예를 들어, 기판(102)은, 알루미늄, 알루미늄 합금들(예를 들어, Al 6061, Al 5058, 등), 스테인리스 스틸, 티타늄, 티타늄 합금들, 마그네슘, 및 마그네슘 합금들로 형성될 수 있다. 도시된 챔버 컴포넌트(100)는 표현의 목적들을 위한 것이며, 반드시 실척인(to scale) 것은 아니다.
[0021] 일 실시예에서, 콜드 스프레이 코팅(104)의 형성 이전에 기판(102)의 평균 표면 거칠기가 조정된다. 예를 들어, 기판(102)의 평균 표면 거칠기는 약 15 마이크로-인치 내지 약 300 마이크로-인치 범위일 수 있다. 일 실시예에서, 기판은, 약 120 마이크로-인치에서 시작하거나 또는 약 120 마이크로-인치로 조정되는 평균 표면 거칠기를 갖는다. 평균 표면 거칠기는 (예를 들어, 비드 블라스팅(bead blasting) 또는 그라인딩(grinding)에 의해) 증가될 수 있거나, 또는 (예를 들어, 샌딩(sanding) 또는 폴리싱에 의해) 감소될 수 있다. 하지만, 물건의 평균 표면 거칠기는 콜드 스프레이 코팅에 대해 이미 적합할 수 있다. 따라서, 평균 표면 거칠기 조정은 선택적일 수 있다.
[0022] 콜드 스프레이 코팅(104)은 콜드 스프레이 프로세스(cold spray process)에 의해 형성될 수 있다. 일 실시예에서, 콜드 스프레이 코팅은, 금속 분말, 이를 테면 알루미늄(예를 들어, 고순도 알루미늄), 알루미늄 합금, 티타늄, 티타늄 합금, 니오븀, 니오븀 합금, 지르코늄, 지르코늄 합금, 구리, 또는 구리 합금들로 형성될 수 있다. 예를 들어, 콜드 스프레이 코팅(104)은 약 0.1 mm 내지 약 40 mm 범위의 두께를 가질 수 있다. 일 예에서, 콜드 스프레이 코팅의 두께는 약 1 mm 이다. 콜드 스프레이 프로세스는 하기에서 더 상세히 설명될 것이다.
[0023] 일 실시예에서, 컴포넌트(100)는, 콜드 스프레이 코팅(104)의 적용 후에 열적으로 처리될 수 있다. 열 처리는, 콜드 스프레이 코팅(104)과 기판(102) 사이에 반응 구역(106)을 형성함으로써 기판(102)에 대한 콜드 스프레이 코팅(104)의 본딩 강도(bonding strength)를 개선함으로써, 콜드 스프레이 코팅을 최적화할 수 있다.
[0024] 이후, 콜드 스프레이 코팅(104)을 밀봉하고(seal) 보호하기 위해, 양극산화 프로세스에 의해 콜드 스프레이 층(104)으로부터 양극산화 층(108)이 형성될 수 있다. 콜드 스프레이 코팅(104)이 알루미늄으로 형성되는 예에서, 양극산화 층(108)은 Al2O3 로 형성될 수 있다. 양극산화 층(108)은 약 2 mil 내지 약 10 mil 범위의 두께를 가질 수 있다. 일 실시예에서, 양극산화 프로세스는 옥살산(oxalic) 또는 하드(hard) 양극산화 프로세스이다. 일 예에서, 양극산화 프로세스는, 양극산화 층(108)을 형성하기 위해, 콜드 스프레이 코팅(104)의 약 20% 내지 약 100%를 양극산화한다. 일 실시예에서, 콜드 스프레이 코팅(104)의 약 50%가 양극산화된다. 양극산화 프로세스는 하기에서 더 상세히 설명될 것이다.
[0025] 또한, 콜드 스프레이 코팅(104)은 형성 후 비교적 큰(high) 평균 표면 거칠기를 가질 수 있다(예를 들어, 약 200 마이크로인치의 평균 표면 거칠기를 가짐). 일 실시예에서, 콜드 스프레이 코팅(104)의 평균 표면 거칠기는 양극산화 이전에 변경된다. 예를 들어, 콜드 스프레이 코팅(104)의 표면은, 화학 기계적 폴리싱(CMP) 또는 기계적 폴리싱 또는 다른 적절한 방법들에 의해 평활하게 될(smoothed) 수 있다. 일 예에서, 콜드 스프레이 코팅(104)의 평균 표면 거칠기는 약 2-20 마이크로인치 범위의 거칠기를 갖도록 변경된다.
[0026] 도 2는 챔버 컴포넌트(예를 들어, 도 1의 컴포넌트(100))를 제조하기 위한 제조 시스템(200)의 예시적인 아키텍처를 예시한다. 제조 시스템(200)은, 반도체 제조에서 사용하기 위한 물건, 이를 테면 샤워헤드, 캐소드 슬리브, 슬리브 라이너 도어, 캐소드 베이스, 챔버 라인, 또는 정전 척 베이스를 제조하기 위한 시스템일 수 있다. 일 실시예에서, 제조 시스템(200)은, 장비 자동화 계층(215)에 연결되는 프로세싱 장비(201)를 포함한다. 프로세싱 장비(201)는 콜드 스프레이 코터(cold spray coater)(203), 가열기(heater)(204) 및/또는 양극산화기(anodizer)(205)를 포함할 수 있다. 제조 시스템(200)은, 장비 자동화 계층(215)에 연결되는 하나 또는 그 초과의 컴퓨팅 디바이스들(220)을 더 포함할 수 있다. 대안적인 실시예들에서, 제조 시스템(200)은 더 많거나 또는 더 적은 컴포넌트들을 포함할 수 있다. 예를 들어, 제조 시스템(200)은, 장비 자동화 계층(215) 또는 컴퓨팅 디바이스(220) 없이, 수동으로 동작되는(예를 들어, 오프-라인) 프로세싱 장비(201)를 포함할 수 있다.
[0027] 일 실시예에서, 습식 세정기(wet cleaner)가 습식 세정 프로세스를 이용하여 물건을 세정하는 바, 이러한 습식 세정 프로세스에서, 물건은 (예를 들어, 평균 표면 거칠기 조정 이후에 또는 코팅들 또는 층들이 형성되기 이전에) 습식 배쓰(wet bath) 내에 액침된다(immersed). 다른 실시예들에서, 건식 세정기(dry cleaner)들과 같은 대안적인 타입들의 세정기들이 물건들을 세정하는 데에 사용될 수 있다. 건식 세정기들은, 열을 가하는 것, 가스를 가하는 것, 플라즈마를 가하는 것 등에 의해, 물건들을 세정할 수 있다.
[0028] 콜드 스프레이 코터(203)는, 물건의 표면에 금속 코팅을 적용하도록 구성되는 시스템이다. 예를 들어, 금속 코팅은, 이를 테면 알루미늄, 알루미늄 합금, 티타늄, 티타늄 합금, 니오븀, 니오븀 합금, 지르코늄, 지르코늄 합금, 구리, 또는 구리 합금과 같은 금속의 금속 분말로 형성될 수 있다. 일 실시예에서, 콜드 스프레이 코터(203)는 콜드 스프레이 프로세스에 의해 물건 상에 알루미늄 코팅을 형성하는 바, 이러한 콜드 스프레이 프로세스에서는, 알루미늄 분말이 높은 레이트의 속도로 노즐로부터 물건 상으로 추진되며(propelled), 이는 하기에서 더 상세히 설명될 것이다. 여기에서, 물건의 표면들은 균등하게(evenly) 코팅될 수 있는데, 왜냐하면 콜드 스프레이 코터(203)의 노즐 및/또는 물건이, 균등한 코팅을 달성하도록 조작될(manipulated) 수 있기 때문이다. 일 실시예에서, 콜드 스프레이 코터(203)는, 코팅 동안 물건을 유지하기 위한 척을 가지는 고정물(fixture)을 가질 수 있다. 콜드 스프레이 코팅의 형성은 하기에서 더 상세히 설명될 것이다.
[0029] 일 실시예에서, 물건은, 콜드 스프레이 코팅이 형성된 후, 특정 기간 동안 가열기(204)에서 베이킹될(baked)(또는 열 처리될) 수 있다. 가열기(204)는, 가스 또는 전기 퍼니스(furnace)일 수 있다. 예를 들어, 물건은, 코팅 및 기판 재료들에 따라서, 섭씨 약 60도 내지 섭씨 약 1500도의 온도에서 0.5 시간 내지 12 시간 동안 열 처리될 수 있다. 이러한 열 처리는 물건과 콜드 스프레이 코팅 사이에 배리어 층 또는 반응 구역을 형성할 수 있으며, 이러한 배리어 층 또는 반응 구역은 물건에 대한 콜드 스프레이 코팅의 본딩을 개선할 수 있다.
[0030] 일 실시예에서, 양극산화기(205)는, 콜드 스프레이 코팅으로부터 양극산화 층을 형성하도록 구성된 시스템이다. 양극산화기(205)는 전류 공급기, 양극산화 배쓰, 및 캐소드 바디(cathode body)를 포함할 수 있다. 예를 들어, 전도성 물건일 수 있는 물건은, 양극산화 배쓰 내에 액침된다. 양극산화 배쓰는 황산 또는 옥살산을 포함할 수 있다. 물건에 전류가 인가되며, 그에 따라, 물건은 애노드로서 기능하고, 캐소드 바디는 캐소드로서 기능한다. 이후, 물건 위의 콜드 스프레이 코팅 위에 양극산화 층이 형성되며, 이는 하기에서 더 상세히 설명될 것이다.
[0031] 장비 자동화 계층(215)은, 제조 머신들(201) 중 몇몇 또는 모두를, 컴퓨팅 디바이스들(220), 다른 제조 머신들, 계측(metrology) 툴들, 및/또는 다른 디바이스들과 상호연결시킬 수 있다. 장비 자동화 계층(215)은, 네트워크(예를 들어, LAN(location area network)), 라우터들, 게이트웨이들, 서버들, 데이터 저장부들 등을 포함할 수 있다. 제조 머신들(201)은, SECS/GEM(SEMI Equipment Communications Standard/Generic Equipment Model) 인터페이스를 통해, 이더넷(Ethernet) 인터페이스를 통해, 그리고/또는 다른 인터페이스들을 통해, 장비 자동화 계층(215)에 연결될 수 있다. 일 실시예에서, 장비 자동화 계층(215)은, 프로세스 데이터(예를 들어, 프로세스 런(run) 동안에, 제조 머신들(201)에 의해 수집된 데이터)가 데이터 저장부(미도시)에 저장될 수 있게 한다. 대안적인 실시예에서, 컴퓨팅 디바이스(220)는 제조 머신들(201) 중 하나 또는 그 초과에 직접적으로 연결된다.
[0032] 일 실시예에서, 몇몇 또는 모든 제조 머신들(201)은, 프로세스 레시피들을 로딩할 수 있고, 저장할 수 있고, 실행시킬 수 있는 프로그램가능 제어기를 포함한다. 프로그램가능 제어기는, 제조 머신들(201)의 온도 설정(setting)들, 가스 및/또는 진공 설정들, 시간 설정들 등을 제어할 수 있다. 프로그램가능 제어기는, 메인 메모리(예컨대, 판독-전용 메모리(ROM), 플래시 메모리, 동적 랜덤 액세스 메모리(DRAM), 정적 랜덤 액세스 메모리(SRAM) 등), 및/또는 이차 메모리(예를 들어, 디스크 드라이브와 같은 데이터 저장 디바이스)를 포함할 수 있다. 메인 메모리 및/또는 이차 메모리는, 본원에서 설명되는 열 처리 프로세스들을 수행하기 위한 명령들을 저장할 수 있다.
[0033] 프로그램가능 제어기는 또한, 명령들을 실행시키기 위해, (예를 들어, 버스를 통해) 메인 메모리 및/또는 이차 메모리에 커플링된 프로세싱 디바이스를 포함할 수 있다. 프로세싱 디바이스는, 마이크로프로세서, 중앙 프로세싱 유닛 등과 같은 범용 프로세싱 디바이스일 수 있다. 프로세싱 디바이스는 또한, ASIC(application specific integrated circuit), FPGA(field programmable gate array), DSP(digital signal processor), 네트워크 프로세서 등과 같은 특수-목적 프로세싱 디바이스일 수 있다. 일 실시예에서, 프로그램가능 제어기는 PLC(programmable logic controller)이다.
[0034] 도 3은 물건 또는 기판 상에 콜드 스프레이 코팅을 형성하기 위한 콜드 스프레이 프로세스 제조 시스템(300)의 예시적인 아키텍처를 예시한다. 제조 시스템(300)은 증착 챔버(302)를 포함하고, 증착 챔버(302)는 기판(306)을 장착하기 위한 스테이지(304)(또는 고정물)를 포함할 수 있다. 일 실시예에서, 기판(306)은 도 1의 기판(102)일 수 있다. 증착 챔버(302) 내의 공기압(air pressure)은, 산화를 피하기 위해 진공 시스템(308)을 통해 감소될 수 있다. 알루미늄, 알루미늄 합금, 티타늄, 티타늄 합금, 니오븀, 니오븀 합금, 지르코늄, 지르코늄 합금, 구리, 또는 구리 합금과 같은 금속 분말(316)을 포함하는 분말 챔버(310)가, 금속 분말(316)을 추진시키기 위한 캐리어 가스(318)를 포함하는 가스 컨테이너(gas container)(312)에 커플링된다. 콜드 스프레이 코팅을 형성하기 위해 기판(306) 상에 금속 분말(316)을 지향시키기 위한 노즐(314)이 분말 챔버(310)에 커플링된다.
[0035] 기판(306)은 반도체 제조를 위해 사용되는 컴포넌트일 수 있다. 이러한 컴포넌트는, 반도체 프로세싱 챔버의, 에칭 반응기, 또는 열 반응기(thermal reactor) 등의 컴포넌트일 수 있다. 컴포넌트의 예들은, 샤워헤드, 캐소드 슬리브, 슬리브 라이너 도어, 캐소드 베이스, 챔버 라이너, 정전 척 베이스 등을 포함한다. 기판(306)은, 알루미늄, 알루미늄 합금들(예를 들어, Al 6061, Al 5058, 등), 스테인리스 스틸, 티타늄, 티타늄 합금들, 마그네슘, 및 마그네슘 합금들, 또는 반도체 제조 챔버 컴포넌트에서 사용되는 임의의 다른 전도성 재료로, 부분적으로 또는 완전히(in whole) 형성될 수 있다.
[0036] 일 실시예에서, 기판(306)의 표면은, 콜드 스프레이 코팅의 형성 이전에, 코팅의 접착을 개선하기 위해, 약 100 마이크로인치 미만의 평균 표면 거칠기로 조면화될(roughened) 수 있다.
[0037] 기판(306)은 코팅의 증착 동안 증착 챔버(302) 내의 스테이지(304) 상에 장착될 수 있다. 스테이지(304)는, 1차원, 2차원, 또는 3차원으로 이동가능할 수 있고, 그리고/또는 하나 또는 그 초과의 방향들에 대해 회전되고/기울어질(tilted) 수 있는 이동가능한 스테이지(예를 들어, 동력화된(motorized) 스테이지)일 수 있다. 따라서, 스테이지(304)는, 캐리어 가스 내에서 노즐(314)로부터 추진되는 금속 분말(316)에 의한 기판(306)의 코팅을 가능하게 하기 위해, 상이한 포지션들로 이동될 수 있다. 예를 들어, 콜드 스프레이에 의한 코팅의 적용은 가시선 프로세스(line of sight process)이기 때문에, 스테이지(304)는 기판(306)의 상이한 부분들 또는 측(side)들을 코팅하도록 이동될 수 있다. 기판(306)이 복잡한 기하형상 또는 코팅될 필요가 있는 상이한 측들을 갖는 경우, 스테이지(304)는, 전체 어셈블리가 코팅될 수 있도록, 노즐(314)에 대해 기판(306)의 포지션을 조정할 수 있다. 다시 말해, 노즐(314)은 다양한 각도들 및 방위들로부터 기판(306)의 특정 부분들에 선택적으로 겨누어질(aimed) 수 있다. 일 실시예에서, 스테이지(304)는 또한, 코팅 형성 동안 물건의 온도를 조정하기 위한, 냉각 또는 가열 채널들을 가질 수 있다.
[0038] 일 실시예에서, 제조 시스템(300)의 증착 챔버(302)는 진공 시스템(308)을 이용하여 진공배기될(evacuated) 수 있으며, 그에 따라, 증착 챔버(302) 내에 진공이 존재한다. 예를 들어, 증착 챔버(302) 내의 압력은 약 0.1 mTorr 미만으로 감소될 수 있다. 증착 챔버(302) 내에 진공을 제공하는 것은 코팅의 적용을 용이하게 할 수 있다. 예를 들어, 금속 분말(316)은, 증착 챔버(302)가 진공 하에 있을 때에 기판(306)으로 이동하기 때문에, 노즐로부터 추진되는 금속 분말(316)은 더 적은 저항에 부딪히게(encounter) 된다. 따라서, 금속 분말(316)은 더 높은 레이트의 속도로 기판(306)에 충돌할(impact) 수 있고, 이는 기판(306)에 대한 접착 및 코팅의 형성을 용이하게 하고, 알루미늄과 같은 고순도 재료들의 산화의 레벨을 감소시키는 것을 도울 수 있다.
[0039] 가스 컨테이너(312)는 가압된(pressurized) 캐리어 가스(318), 이를 테면 질소 또는 아르곤을 유지한다. 가압된 캐리어 가스(318)는 압력 하에서 가스 컨테이너(312)로부터 분말 챔버(310)로 이동한다. 가압된 캐리어 가스(318)가 분말 챔버(310)로부터 노즐(314)로 이동할 때, 캐리어 가스(318)는 금속 분말(316) 중 일부를 노즐(314)을 향해 추진시킨다. 일 예에서, 가스 압력은 약 50 내지 약 1000 Psi 범위일 수 있다. 일 예에서, 알루미늄 분말에 대해, 가스 압력은 약 500 Psi 이다. 다른 예에서, 주석 및 아연 분말들에 대해, 가스 압력은 약 100 Psi 미만이다.
[0040] 일 실시예에서, 가스 온도는 섭씨 약 100도 내지 섭씨 약 1000도 범위이다. 다른 예에서, 가스 온도는 섭씨 약 325도 내지 섭씨 약 500도 범위이다. 일 실시예에서, 노즐에서의 가스의 온도는 섭씨 약 120도 내지 섭씨 약 200도 범위이다. 기판(306)에 충돌하는 금속 분말의 온도는, 가스 온도, 이동 속도, 및 기판(306)의 크기에 의존할 수 있다.
[0041] 일 실시예에서, 코팅 분말(316)은 특정 유동성(fluidity)을 갖는다. 일 예에서, 입자들은 약 1 미크론 내지 약 200 미크론 범위의 직경을 가질 수 있다. 일 예에서, 입자들은 약 1 미크론 내지 약 50 미크론 범위의 직경을 가질 수 있다.
[0042] 금속 분말(316)의 서스펜션(suspension)을 추진하는 캐리어 가스(318)가 노즐(314) 내의 개구로부터 증착 챔버(302)에 들어갈 때, 금속 분말(316)이 기판(306)을 향해 추진된다. 일 실시예에서, 캐리어 가스(318)는, 코팅 분말(316)이 약 100 m/s 내지 약 1500 m/s의 레이트로 기판(306)을 향해 추진되도록, 가압된다. 예를 들어, 코팅 분말은 약 300 내지 약 800 m/sec의 레이트로 기판을 향해 추진될 수 있다.
[0043] 일 실시예에서, 노즐(314)은 내마모성(wear resistant)이도록 형성된다. 코팅 분말(316)이 노즐(314)을 통해 고속으로 이동함으로 인해, 노즐(314)은 빠르게 마모되고 저하될(degrade) 수 있다. 하지만, 노즐(314)은 마모가 최소화되거나 감소되도록 하는 재료 및 형상으로 형성될 수 있고, 그리고/또는 노즐은 소모성 부분(consumable part)으로서 제조될 수 있다. 일 실시예에서, 노즐 직경은 약 1 밀리미터(mm) 내지 약 15 mm 범위일 수 있다. 일 예에서, 노즐 직경은 약 3 mm 내지 약 12 mm 범위일 수 있다. 예를 들어, 알루미늄 분말에 대해, 노즐 직경은 약 6.3 mm 일 수 있다. 일 실시예에서, 노즐 스탠드-오프(stand-off)(즉, 노즐(314)로부터 기판(306)까지의 거리)는 약 5 mm 내지 약 200 mm 범위일 수 있다. 예를 들어, 노즐 스탠드-오프는 약 10 mm 내지 약 50 mm 범위일 수 있다.
[0044] 기판(306)에 충돌할 때, 금속 분말(316)의 입자들은 운동 에너지로부터 파괴되고(fracture) 변형되어(deform), 기판(306)에 부착되는 앵커(anchor) 층을 생성한다. 금속 분말(316)의 적용이 계속됨에 따라, 입자들은, 그러한 입자들 자체에 본딩됨으로써, 콜드 스프레이 코팅 또는 필름이 된다. 기판(306) 상으로의 코팅 분말(316)의 입자들의 계속되는 충돌에 의해, 기판(306) 상의 콜드 스프레이 코팅이 계속해서 성장된다. 다시 말해, 입자들은 서로 그리고 기판과 고속으로 기계적으로 충돌하여, 더 작은 조각(piece)들로 깨짐으로써, 고밀도(dense) 층을 형성한다. 특히, 콜드 스프레잉을 이용하게 되면, 입자들은, 용융되어(melt) 리플로우(reflow)되지 않을 수 있다.
[0045] 일 실시예에서, 금속 분말(316)의 입자들의 입자 결정 구조는, 기판(306)으로의 적용 후에 유지된다. 일 실시예에서, 기판(306)에 충돌할 때 입자들이 더 작은 조각들로 깨짐으로 인해 운동 에너지가 열 에너지로 변환될 때에, 부분 용융(partial melting)이 일어날 수 있다. 이러한 입자들은 밀도있게(densely) 본딩될 수 있다. 언급된 바와 같이, 기판(306) 상의 금속 분말의 온도는, 가스 온도, 이동 속도, 및 기판(306)의 크기(예를 들어, 열 질량(thermal mass))에 의존할 수 있다.
[0046] 일 실시예에서, 코팅 증착 레이트는 약 1 내지 약 50 grams/min 범위일 수 있다. 예를 들어, 알루미늄 분말에 대해, 코팅 증착 레이트는 약 1 내지 약 20 grams/min 범위일 수 있다. 더 밀도 높은 코팅들은, 더 느린 피드(feed) 및 더 빠른 래스터(raster)(즉, 이동 속도)에 의해 달성될 수 있다. 일 실시예에서, 효율은 약 10 퍼센트 내지 약 90 퍼센트 범위이다. 예를 들어, 효율은 약 30 퍼센트 내지 약 70 퍼센트 범위일 수 있다. 더 높은 온도 및 더 높은 가스 압력은 더 높은 효율을 이끌 수 있다.
[0047] 일 실시예에서, 약 2 마이크로-인치 내지 약 300 마이크로-인치 범위의 평균 표면 거칠기를 달성하기 위해, 코팅의 평균 표면 거칠기는 (예를 들어, 비드 블라스팅 또는 그라인딩에 의해) 증가될 수 있거나, 또는 (예를 들어, 샌딩 또는 폴리싱에 의해) 감소될 수 있으며, 일 특정 실시예에서 표면 거칠기는 약 120 마이크로-인치이다. 예를 들어, 코팅은, 약 20 미크론 내지 약 300 미크론 범위의 직경을 갖는 Al2O3 입자들에 의해 비드 블라스팅될(bead blasted) 수 있다. 일 예에서, 입자들은 약 100 미크론 내지 약 150 미크론 범위의 직경을 가질 수 있다. 일 실시예에서, 평균 표면 거칠기를 조정하는 동안, 코팅의 약 10 퍼센트 내지 약 50 퍼센트가 제거될 수 있다. 하지만, 물건의 평균 표면 거칠기는 이미 적합할 수 있으며, 따라서, 평균 표면 거칠기 조정은 선택적일 수 있다.
[0048] (높은(elevated) 온도들에서 수행되는 열 기법인) 플라즈마 스프레이에 의한 코팅의 적용과 달리, 일 실시예에 의한 콜드 스프레이 코팅의 적용은 실온에서 또는 실온 근방에서 수행될 수 있다. 예를 들어, 콜드 스프레이 코팅의 적용은, 가스 온도, 이동 속도, 및 컴포넌트의 크기에 따라서, 섭씨 약 15도 내지 섭씨 약 100도에서 수행될 수 있다. 콜드 스프레이 증착의 경우, 기판은 가열되지 않을 수 있으며, 적용 프로세스는, 코팅되는 기판의 온도를 크게 증가시키지 않는다.
[0049] 또한, 실시예들에 따른 코팅들은, 응고 수축(solidification shrinkage)들로 인해 낮은 다공성(porosity)을 가질 수 있고 그리고 적은(few) 산화물 함유물(oxide inclusion)들을 갖거나 또는 전혀 갖지 않을 수 있다.
[0050] 일 실시예에서, 콜드 스프레이 코팅은 매우 밀도가 높을 수 있으며, 예를 들어, 약 99% 초과의 밀도일 수 있다. 또한, 알루미늄 코팅들에 대해, 콜드 스프레이 코팅은 중간층(inter-layer)들 없이 기판에 대한 우수한 접착력, 예를 들어, 약 4,500 psi 를 가질 수 있다.
[0051] 전형적으로, 분말과 콜드 스프레이 코팅 사이에는 열적으로-유도되는 차이(thermally-induced difference)가 작거나(little) 또는 그러한 차이가 전혀 없다. 다시 말해, 분말 내에 있는 것이 코팅 내에 있다. 또한, 전형적으로, 콜드 스프레이 코팅 동안 컴포넌트 또는 기판의 마이크로구조에 대한 손상은 작거나 또는 전혀 없다. 또한, 콜드 스프레이 코팅은 일반적으로, 높은 경도(hardness) 및 냉간 가공 마이크로구조(cold work microstructure)를 나타낸다. 연성(ductile) 코팅 재료들의 심한 플라스틱 변형(heavy plastic deformation)에 의해 많은 양의 냉간 가공이 일어나며, 이는 매우 미세한 그레인 구조를 초래하는 바, 이는 코팅의 기계적 그리고 부식 특성들에 대해 유익할 수 있다.
[0052] 콜드 스프레이 코팅은 일반적으로, 압축 모드(compression mode)로 이루어지며, 이는 코팅의 디라미네이션(delamination) 또는 코팅 층 내에서의 거시적인(macro) 또는 마이크로스코픽(microscopic) 크래킹(cracking)을 감소시키는 것을 돕는다.
[0053] 일 실시예에서, 요구되는 기계적 그리고 부식 특성들을 갖는 합성(composite) 층을 달성하기 위해, 경사 증착(gradient deposit)들이 사용될 수 있다. 예를 들어, 알루미늄 층이 먼저 증착되고, 알루미늄 층의 최상부에 구리 층이 증착된다.
[0054] 일 실시예에서, 코팅된 기판(306)은 코팅-후 프로세스(post-coating process)를 받을 수 있다. 포스트 세정 프로세스(post cleaning process)는 열 처리일 수 있으며, 이러한 열 처리는, 접착력을 개선하고 그리고/또는 배리어 층 또는 반응 구역을 생성하기 위해, 기판과 코팅 간의 코팅 인터페이스를 추가적으로 제어할 수 있다. 일 실시예에서, 코팅된 기판은 약 30분 초과 동안 섭씨 약 200도 내지 섭씨 약 1450도 범위의 온도로 가열될 수 있다. 예를 들어, Y 층은 Y 층의 표면을 Y2O3로 산화시키기 위해 섭씨 약 750도 가열될 수 있으며, 그에 의해, 침식 저항(erosion resistance)을 개선할 수 있다.
[0055] 일 실시예에서, 기판과 코팅 사이에 배리어 층 또는 반응 구역을 형성하게 되면, 코팅을 침투하는 프로세스 케미스트리(process chemistry)와 아래에 있는 기판과의 반응을 막는다. 이는 디라미네이션의 발생을 최소화할 수 있다. 반응 구역은 세라믹 코팅의 접착 강도를 증가시킬 수 있고, 필링(peeling)을 최소화할 수 있다. 예를 들어, 배리어 층은 2개의 재료들 사이에 형성되는 금속간 화합물(intermetallic compound) 또는 고용체(solid solution) 영역, 이를 테면, Al 층과 Ti 층 사이의 AlTi 금속간 화합물 또는 고용체일 수 있다.
[0056] 반응 구역은, 온도 및 시간에 의존하는 레이트로 성장한다. 온도 및 열 처리 지속기간이 증가함에 따라, 반응 구역의 두께가 또한 증가한다. 따라서, 컴포넌트를 열 처리하기 위해 이용되는 지속기간 및 온도(또는 온도들)는, 약 5 미크론 보다 두껍지 않은 반응 구역을 형성하도록 선택되어야 한다. 일 실시예에서, 온도 및 지속기간은 약 0.1 미크론 내지 약 5 미크론의 반응 구역이 형성되도록 선택된다. 일 실시예에서, 반응 구역은, 프로세싱 동안 가스가 세라믹 기판과 반응하는 것을 막기에 충분한 최소 두께(예를 들어, 약 0.1 미크론)를 갖는다. 일 실시예에서, 배리어 층은 1-2 미크론의 목표 두께를 갖는다.
[0057] 도 4는 일 실시예에 따른, 콜드 스프레이 코팅(409)으로부터 양극산화 층(411)을 형성하기 위해 물건(403)을 양극산화하기 위한 프로세스(400)를 예시한다. 예를 들어, 물건(403)은 도 1의 기판(102)일 수 있다. 양극산화는 물건(403)의 표면의 마이크로스코픽 텍스처(microscopic texture)를 변화시킨다. 따라서, 도 4는 단지 예시의 목적들을 위한 것이며, 실척이 아닐 수 있다. 양극산화 프로세스 이전에, 물건(403)은 질산 배쓰(nitric acid bath)에서 세정될 수 있다. 이러한 세정은 양극산화 이전에 탈산(deoxidation)을 수행할 수 있다.
[0058] 콜드 스프레이 코팅(409)을 갖는 물건(403)은, 캐소드 바디(405)와 함께 양극산화 배쓰(401) 내에 액침된다. 양극산화 배쓰는 산성 용액을 포함할 수 있다. 알루미늄 코팅을 양극산화하기 위한 캐소드 바디들의 예들은, Al6061 및 Al3003과 같은 알루미늄 합금들, 뿐만 아니라, 탄소 바디들(carbon bodies)을 포함한다. 전류 공급기(407)에 의해 전해질 또는 산성 용액을 통해 전류를 통과시킴으로써 물건(403) 상의 콜드 스프레이 코팅(409)으로부터 양극산화 층(411)이 성장되며, 여기서 물건(403)은 애노드(포지티브 전극)이다. 전류 공급기(407)는 배터리 또는 다른 전력 공급부일 수 있다. 전류는 캐소드 바디(405)(네거티브 전극)에 수소를 방출하고(release) 콜드 스프레이 코팅(409)의 표면에 산소를 방출하여, 콜드 스프레이 코팅(409) 상에 양극산화 층(411)을 형성한다. 알루미늄 콜드 스프레이 코팅(409)의 경우, 양극산화 층은 알루미늄 산화물이다. 일 실시예에서, 다양한 용액들을 사용하여 양극산화를 가능하게 하는 전압은 1 내지 300V의 범위일 수 있다. 일 실시예에서, 전압은 15 내지 21V의 범위이다. 양극산화 전류(anodizing current)는, 양극산화되는 알루미늄 바디(405)의 면적(area)에 따라 달라지며, 30 내지 300 amperes/meter2 (2.8 내지 28 ampere/ft2) 범위일 수 있다.
[0059] 산성 용액은, (예를 들어, 컬럼 나노세공(columnar nanopore)들과 같은) 세공(pore)들의 층을 형성하기 위해, 콜드 스프레이 코팅(409)의 표면을 용해시킨다(즉, 소모하거나 변환시킨다). 이러한 나노세공들의 층으로부터 양극산화 층(411)이 계속해서 성장된다. 나노세공들은 약 10 nm 내지 약 50 nm 범위의 직경을 가질 수 있다. 일 실시예에서, 나노세공들은 약 30 nm의 평균 직경을 갖는다.
[0060] 산성 용액은 옥살산, 황산, 옥살산과 황산의 조합일 수 있다. 옥살산의 경우, 물건의 소모 대 양극산화 층 성장의 비율은 약 1:1 이다. 콜드 스프레이 코팅(409)으로부터 일관된 알루미늄 산화물 양극산화 층(411)을 형성하도록, 전해질 농도, 산성도(acidity), 용액 온도, 및 전류가 제어된다. 일 실시예에서, 양극산화 층(411)은 약 300 nm 내지 약 200 미크론 범위의 두께를 갖도록 성장될 수 있다. 일 실시예에서, 양극산화 층의 형성은, 약 5 퍼센트 내지 약 100 퍼센트 범위의, 콜드 스프레이 코팅의 퍼센티지를 소모한다. 일 예에서, 양극산화 층의 형성은 콜드 스프레이 코팅의 약 50 퍼센트를 소모한다.
[0061] 일 실시예에서, 전류 밀도는 처음에, 양극산화 층의 매우 고밀도의(>99%) 배리어 층 부분을 성장시키기 위해 높으며(>99%), 전류 밀도는 이후, 양극산화 층의 다공성의 컬럼(columnar) 층 부분을 성장시키기 위해 감소된다. 양극산화 층을 형성하기 위해 옥살산이 사용되는 일 실시예에서, 다공성은 약 40% 내지 약 50% 범위이며, 세공들은 약 10nm 내지 약 50 nm 범위의 직경을 갖는다.
[0062] 일 실시예에서, 양극산화 층의 평균 표면 거칠기(Ra)는 약 15 마이크로-인치 내지 약 300 마이크로-인치 범위이며, 이는 물건의 최초 거칠기와 유사할 수 있다. 일 실시예에서, 평균 표면 거칠기는 약 120 마이크로-인치이다.
[0063] 표 A는, Al6061 물건 및 Al6061 물건 상의 양극산화된 콜드 스프레이 고순도 Al 코팅에서의 금속성 불순물(metallic impurity)들을 검출하는 데에 이용되는 ICP-MS(Induction Coupled Plasma Mass Spectroscopy)의 결과들을 나타낸다. 이러한 예에서, Al6061 물건 상의 양극산화된 콜드 스프레이 고순도 Al 코팅은, 코팅이 없는 6061 Al 컴포넌트 보다 상당히 더 적은 트레이스 금속 오염을 나타내었다.
Figure pct00001
[0064] 도 5는 본 개시내용의 실시예들에 따른, 코팅된 컴포넌트를 제조하기 위한 방법(500)을 나타내는 흐름도이다. 방법(500)은 도 2의 제조 시스템(200)을 사용하여 수행될 수 있다.
[0065] 블록(502)에서, 반도체 제조 환경에서 사용하기 위한 컴포넌트가 제공된다. 예를 들어, 상기 설명된 바와 같이, 컴포넌트는 기판, 이를 테면 샤워헤드, 캐소드 슬리브, 슬리브 라이너 도어, 캐소드 베이스, 챔버 라이너, 정전 척 베이스 등일 수 있다. 예를 들어, 기판은, 알루미늄, 알루미늄 합금들(예를 들어, Al 6061, Al 5058 등), 스테인리스 스틸, 티타늄, 티타늄 합금들, 마그네슘, 및 마그네슘 합금들로 형성될 수 있다.
[0066] 블록(504)에서, 컴포넌트가 증착 챔버 내로 로딩된다. 증착 챔버는 상기 설명된 증착 챔버(302)일 수 있다.
[0067] 블록(506)에서, 컴포넌트 상에 나노입자(nanoparticle) 금속 분말을 스프레잉함으로써, 콜드 스프레이 코팅이 컴포넌트 상에 코팅되며, 여기서, 콜드 스프레이 코팅은 약 0.5 mm 내지 약 2 mm 범위의 두께를 가질 수 있다. 예를 들어, 금속 분말은, 알루미늄(예를 들어, 고순도 알루미늄), 알루미늄 합금, 티타늄, 티타늄 합금, 니오븀, 니오븀 합금, 지르코늄, 지르코늄 합금, 구리, 또는 구리 합금들을 포함할 수 있다. 금속 분말은 질소 또는 아르곤과 같은 가스 내에 서스펜딩될(suspended) 수 있다.
[0068] 블록(508)에서, 일 실시예에 따르면, 방법은 코팅과 컴포넌트 사이에 배리어 층 또는 반응 구역을 형성하기 위해, 코팅된 컴포넌트를 열 처리하는 것을 더 포함한다. 예를 들어, 코팅된 컴포넌트는 30분 초과 동안 섭씨 1450도로 가열될 수 있다.
[0069] 블록(510)에서, 일 실시예에 따르면, 방법은 컴포넌트의 표면을 준비하는 것을 더 포함한다. 예를 들어, 콜드 스프레이 코팅은 이상적이지 않은 평균 표면 거칠기를 가질 수 있다. 따라서, 콜드 스프레이 코팅의 평균 표면 거칠기는 (예를 들어, 폴리싱에 의해) 평균 표면 거칠기를 낮추도록 평활하게 될 수 있거나, 또는 (예를 들어, 비드 블라스팅 또는 그라인딩에 의해) 평균 표면 거칠기를 올리도록 조면화될 수 있다.
[0070] 블록(512)에서, 양극산화 층을 형성하기 위해, 콜드 스프레이 코팅이 양극산화된다. 콜드 스프레이 코팅이 알루미늄인 예에서, 양극산화 층은 알루미늄 산화물일 수 있으며, 그리고 양극산화 층의 형성은, 약 5 퍼센트 내지 약 100 퍼센트 범위의, 콜드 스프레이 코팅의 퍼센티지를 소모할 수 있다.
[0071] 이전의 설명은, 본 개시내용의 수개의 실시예들의 양호한 이해를 제공하기 위해, 특정한 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정한 세부사항들을 설명한다. 그러나, 본 개시내용의 적어도 몇몇 실시예들이, 그러한 특정한 세부사항들 없이 실시될 수 있다는 것이 당업자에게 명백할 것이다. 다른 경우들에서, 본 개시내용을 불필요하게 불명료하게 하는 것을 피하기 위해, 잘-알려진 컴포넌트들 또는 방법들은 상세히 설명되지 않거나, 간단한 블록도 포맷으로 제시된다. 따라서, 설명된 특정한 세부사항들은 단지 예시적인 것일 뿐이다. 특정한 구현들이 그러한 예시적인 세부사항들로부터 변화될 수 있고, 여전히, 본 개시내용의 범위 내에 속하는 것으로 고려될 수 있다.
[0072] 본 명세서 전반에 걸친, "일 실시예" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명된 특정한 피처, 구조, 또는 특성이 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서 전반에 걸친 다양한 위치들에서의 "일 실시예에서" 또는 "실시예에서"라는 문구의 출현들이 반드시 모두, 동일한 실시예를 지칭하는 것은 아니다. 부가하여, "또는"이라는 용어는, 배타적인 "또는"이 아니라 포괄적인 "또는"을 의미하도록 의도된다.
[0073] 본원에서의 방법들의 동작들이 특정한 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는, 특정한 동작들이 역순으로 수행될 수 있도록, 또는 특정한 동작이 적어도 부분적으로 다른 동작들과 동시에 수행될 수 있도록, 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 하위-동작들 또는 명령들은 간헐적인 및/또는 교번적인 방식으로 이루어질 수 있다.
[0074] 위의 설명이 예시적이도록 그리고 제한적이지 않도록 의도된다는 것이 이해되어야 한다. 위의 설명을 읽고 이해할 시에, 다수의 다른 실시예들이 당업자에게 명백할 것이다. 따라서, 본 개시내용의 범위는, 첨부된 청구항들에 관하여, 그러한 청구항들에게 권리가 부여되는 등가물들의 전체 범위와 함께, 결정되어야 한다.

Claims (15)

  1. 방법으로서,
    반도체 제조 챔버에서 사용하기 위한 컴포넌트(component)를 제공하는 단계;
    상기 컴포넌트를 증착 챔버 내로 로딩(loading)하는 단계;
    상기 컴포넌트 상에 코팅을 형성하기 위해, 상기 컴포넌트 상에 금속 분말(metal powder)을 콜드 스프레이 코팅(cold spray coating)하는 단계; 및
    양극산화(anodization) 층을 형성하기 위해, 상기 코팅을 양극산화하는(anodizing) 단계를 포함하는,
    방법.
  2. 제 1 항에 있어서,
    상기 코팅을 양극산화하는 단계 이전에, 상기 컴포넌트를 약 20 마이크로-인치 미만의 평균 표면 거칠기(roughness)로 폴리싱(polishing)하는 단계를 더 포함하는,
    방법.
  3. 제 1 항에 있어서,
    상기 컴포넌트 상에 콜드 스프레이 코팅되는 상기 금속 분말은 약 100 m/s 내지 약 1500 m/s 범위의 속도를 가지며, 질소 또는 아르곤의 캐리어 가스(carrier gas)를 통해 스프레잉되는(sprayed),
    방법.
  4. 제 1 항에 있어서,
    상기 콜드 스프레이 코팅하는 단계 이후, 상기 컴포넌트와 상기 코팅 사이에 배리어(barrier) 층을 형성하기 위해, 상기 컴포넌트를 섭씨 약 200도 내지 섭씨 약 1450도 범위의 온도로 약 30분 초과 동안 가열하는 단계를 더 포함하는,
    방법.
  5. 제 1 항에 있어서,
    상기 코팅은 약 0.1 mm 내지 약 40 mm 범위의 두께를 갖는,
    방법.
  6. 제 1 항에 있어서,
    상기 컴포넌트는, 알루미늄, 알루미늄 합금, 스테인리스 스틸, 티타늄, 티타늄 합금, 마그네슘, 또는 마그네슘 합금 중에서 적어도 하나를 포함하며, 그리고 상기 금속 분말은, 알루미늄, 알루미늄 합금, 티타늄, 티타늄 합금, 니오븀, 니오븀 합금, 지르코늄, 지르코늄 합금, 구리, 또는 구리 합금 중에서 적어도 하나를 포함하는,
    방법.
  7. 제 1 항에 있어서,
    상기 양극산화 층을 형성하기 위해, 상기 코팅의 약 1 내지 약 50 퍼센트가 소모되는,
    방법.
  8. 제 1 항에 있어서,
    상기 컴포넌트는, 샤워헤드, 캐소드 슬리브(cathode sleeve), 슬리브 라이너 도어(sleeve liner door), 캐소드 베이스(cathode base), 챔버 라인(chamber line), 또는 정전 척 베이스인,
    방법.
  9. 물건(article)으로서,
    플라즈마 에칭을 위해 반도체 제조 챔버에서 사용하기 위한 컴포넌트;
    상기 컴포넌트 상의 금속 분말 콜드 스프레이 코팅; 및
    상기 금속 분말 콜드 스프레이 코팅으로 형성되는 양극산화 층을 포함하는,
    물건.
  10. 제 9 항에 있어서,
    상기 컴포넌트는 약 20 마이크로-인치 미만의 평균 표면 거칠기를 갖는,
    물건.
  11. 제 9 항에 있어서,
    상기 물건은, 상기 컴포넌트와 상기 코팅 사이에 배리어 층을 더 포함하며, 상기 배리어 층은 약 0.1 미크론 내지 약 5 미크론 범위의 두께를 갖는,
    물건.
  12. 제 9 항에 있어서,
    상기 코팅은 약 0.2 mm 내지 약 5 mm 범위의 두께를 갖는,
    물건.
  13. 제 9 항에 있어서,
    상기 컴포넌트는, 알루미늄, 알루미늄 합금, 스테인리스 스틸, 티타늄, 티타늄 합금, 마그네슘, 또는 마그네슘 합금 중에서 적어도 하나를 포함하는,
    물건.
  14. 제 9 항에 있어서,
    상기 금속 분말 콜드 스프레이 코팅은, 알루미늄, 알루미늄 합금, 티타늄, 티타늄 합금, 니오븀, 니오븀 합금, 지르코늄, 지르코늄 합금, 구리, 또는 구리 합금을 포함하는,
    물건.
  15. 제 9 항에 있어서,
    상기 컴포넌트는, 샤워헤드, 캐소드 슬리브, 슬리브 라이너 도어, 캐소드 베이스, 챔버 라인, 또는 정전 척 베이스인,
    물건.
KR1020167012172A 2013-11-13 2014-11-11 반도체 제조 컴포넌트들을 위한 고순도 금속성 탑 코트 KR20160084386A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/079,586 US9663870B2 (en) 2013-11-13 2013-11-13 High purity metallic top coat for semiconductor manufacturing components
US14/079,586 2013-11-13
PCT/US2014/065078 WO2015073456A1 (en) 2013-11-13 2014-11-11 High purity metallic top coat for semiconductor manufacturing components

Publications (1)

Publication Number Publication Date
KR20160084386A true KR20160084386A (ko) 2016-07-13

Family

ID=53044051

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167012172A KR20160084386A (ko) 2013-11-13 2014-11-11 반도체 제조 컴포넌트들을 위한 고순도 금속성 탑 코트

Country Status (6)

Country Link
US (4) US9663870B2 (ko)
JP (2) JP6581978B2 (ko)
KR (1) KR20160084386A (ko)
CN (3) CN109989058A (ko)
TW (3) TWI633209B (ko)
WO (1) WO2015073456A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101984258B1 (ko) * 2017-12-29 2019-05-30 주식회사 비스텔 스마트공장과 클라우드 서버간 컨버징 방법 및 시스템
KR20190135877A (ko) * 2018-05-29 2019-12-09 주식회사 메카로 반도체 웨이퍼용 고온 금속 히터블럭 및 이의 제조 방법
KR20240003668A (ko) * 2022-07-01 2024-01-09 임춘영 물리적 연마 단계 및 양극산화 단계를 포함하는 알루미늄 소재 리모트 플라즈마 소스 챔버의 표면 처리 방법

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9335296B2 (en) 2012-10-10 2016-05-10 Westinghouse Electric Company Llc Systems and methods for steam generator tube analysis for detection of tube degradation
KR20150129660A (ko) * 2013-03-14 2015-11-20 어플라이드 머티어리얼스, 인코포레이티드 기판 상의 고순도 알루미늄 탑 코트
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US20150187615A1 (en) * 2013-12-31 2015-07-02 Lam Research Corporation Component of a plasma processing apparatus including an electrically conductive and nonmagnetic cold sprayed coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
CN104294206B (zh) * 2014-10-09 2016-05-04 沈阳富创精密设备有限公司 一种半导体装备用抗高温蠕变接地基片的制备方法
US9548518B2 (en) * 2014-12-16 2017-01-17 General Electric Company Methods for joining ceramic and metallic structures
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US20180195196A1 (en) * 2017-01-06 2018-07-12 Mks Instruments, Inc. Protective oxide coating with reduced metal concentrations
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
RU2677906C1 (ru) * 2017-11-03 2019-01-22 Федеральное государственное бюджетное учреждение науки Институт металлургии и материаловедения им. А.А. Байкова Российской академии наук (ИМЕТ РАН) Способ комбинированного упрочнения поверхностей деталей
KR102659394B1 (ko) * 2018-07-03 2024-04-19 램 리써치 코포레이션 세라믹 코팅을 컨디셔닝하기 위한 방법
JP7140329B2 (ja) * 2018-08-10 2022-09-21 地方独立行政法人山口県産業技術センター 陽極酸化チタン材及びその製造方法
US11584985B2 (en) 2018-08-13 2023-02-21 Honeywell International Inc. Sputter trap having a thin high purity coating layer and method of making the same
CN109609993B (zh) * 2018-12-27 2020-11-24 浙江工业大学 一种氮化钛铌纳米管阵列的制备方法
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US11935662B2 (en) 2019-07-02 2024-03-19 Westinghouse Electric Company Llc Elongate SiC fuel elements
WO2021055284A1 (en) 2019-09-19 2021-03-25 Westinghouse Electric Company Llc Apparatus for performing in-situ adhesion test of cold spray deposits and method of employing
WO2021163053A1 (en) * 2020-02-13 2021-08-19 Lam Research Corporation Coating for plasma processing chamber part
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法
EP3936640A1 (de) * 2020-07-10 2022-01-12 Siemens Aktiengesellschaft Farbig eloxierte stromschienen mit kaltgasbeschichtung für stromrichter
US11746434B2 (en) 2021-07-21 2023-09-05 Battelle Energy Alliance, Llc Methods of forming a metal coated article
US20230312422A1 (en) * 2022-04-01 2023-10-05 Applied Materials, Inc. Ceramic engineering by grading materials

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3151948A (en) 1959-06-26 1964-10-06 Nat Res Corp Coating
US3969195A (en) 1971-05-07 1976-07-13 Siemens Aktiengesellschaft Methods of coating and surface finishing articles made of metals and their alloys
JPS5671821A (en) 1979-11-14 1981-06-15 Hitachi Ltd Substrate for magnetic disc and its manufacture
CA1232227A (en) 1982-02-18 1988-02-02 Christopher Vance Manufacturing electrode by immersing substrate in aluminium halide and other metal solution and electroplating
GB8315203D0 (en) 1983-06-02 1983-07-06 Secr Defence Surface pretreatment of aluminium and aluminium alloys
EP0309831A1 (de) 1987-09-29 1989-04-05 Siemens Aktiengesellschaft Ionensperrschicht auf Metallen und Nichtmetallen
JPH0191319A (ja) 1987-09-30 1989-04-11 Noboru Tsuya 磁気ディスクの製造方法
JPH01156496A (ja) 1987-12-11 1989-06-20 Shinku Zairyo Kk 耐蝕被覆方法
US4883541A (en) * 1989-01-17 1989-11-28 Martin Marietta Corporation Nonchromate deoxidizer for aluminum alloys
US5069938A (en) 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5192610A (en) 1990-06-07 1993-03-09 Applied Materials, Inc. Corrosion-resistant protective coating on aluminum substrate and method of forming same
US5104514A (en) 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
JP2984116B2 (ja) 1991-10-30 1999-11-29 日新製鋼株式会社 半導体素子搭載基板
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
EP0792951B1 (en) 1994-11-16 2001-09-26 Kabushiki Kaisha Kobe Seiko Sho Vacuum chamber made of aluminum or its alloys
JP2900820B2 (ja) * 1995-03-24 1999-06-02 株式会社神戸製鋼所 AlまたはAl合金製真空チャンバ部材の表面処理方法
JP2943634B2 (ja) * 1994-11-16 1999-08-30 株式会社神戸製鋼所 AlまたはAl合金製真空チャンバ部材の表面処理方法
JP2900822B2 (ja) * 1994-11-16 1999-06-02 株式会社神戸製鋼所 AlまたはAl合金製真空チャンバ部材
JP3761040B2 (ja) 1995-06-26 2006-03-29 株式会社アルバック 真空装置用構造材料および真空装置用構造部材
JPH0953196A (ja) * 1995-08-15 1997-02-25 Nikkoshi Prod Kk 電極材料と、その製造方法
JP2901907B2 (ja) 1996-01-10 1999-06-07 アプライド マテリアルズ インコーポレイテッド プロセスチャンバウィンドウ
JPH111797A (ja) * 1997-06-09 1999-01-06 Kobe Steel Ltd AlまたはAl合金製真空チャンバ部材
JP4194143B2 (ja) 1998-10-09 2008-12-10 株式会社神戸製鋼所 ガス耐食性とプラズマ耐食性に優れたアルミニウム合金材
JP4068742B2 (ja) 1998-12-11 2008-03-26 株式会社神戸製鋼所 耐熱割れ性及び耐食性に優れた半導体製造装置用陽極酸化皮膜被覆部材の製造方法
US6166172A (en) 1999-02-10 2000-12-26 Carnegie Mellon University Method of forming poly-(3-substituted) thiophenes
US6466881B1 (en) 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
SG98436A1 (en) * 1999-12-21 2003-09-19 United Technologies Corp Method of forming an active-element containing aluminide as stand alone coating and as bond coat and coated article
US6521046B2 (en) 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US7132212B2 (en) 2001-06-13 2006-11-07 Fuji Photo Film Co., Ltd. Presensitized plate
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
JP2003034894A (ja) 2001-07-25 2003-02-07 Kobe Steel Ltd 耐腐食性に優れたAl合金部材
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7033447B2 (en) 2002-02-08 2006-04-25 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US7048814B2 (en) 2002-02-08 2006-05-23 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6659331B2 (en) 2002-02-26 2003-12-09 Applied Materials, Inc Plasma-resistant, welded aluminum structures for use in semiconductor apparatus
DE10224777A1 (de) * 2002-06-04 2003-12-18 Linde Ag Verfahren und Vorrichtung zum Kaltgasspritzen
CA2495197A1 (en) 2002-08-13 2004-02-19 Hydrogenics Corporation Corrosion resistant terminal plate and method for producing same
DE10248118B4 (de) 2002-10-10 2011-07-21 Süddeutsche Aluminium Manufaktur GmbH, 89558 Verfahren zum Aufbringen eines dünnkeramischen Beschichtungsmaterials auf eine zu beschichtende Oberfläche eines Kraftfahrzeug-Anbauteils und Kraftfahrzeug-Anbauteil
TW561068B (en) * 2002-11-29 2003-11-11 Au Optronics Corp Nozzle head with excellent corrosion resistance for dry etching process and anti-corrosion method thereof
JP2004225113A (ja) 2003-01-23 2004-08-12 Kobe Steel Ltd 耐腐食性及び耐プラズマ性に優れたAl合金部材
WO2004087994A1 (en) * 2003-03-31 2004-10-14 Sheffield Hallam University Base for decorative layer
US20040221959A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support
JP2005013153A (ja) * 2003-06-27 2005-01-20 Daiwa Seiko Inc 魚釣用リールの構成部材
WO2005122660A1 (en) 2004-06-10 2005-12-22 Showa Denko K.K. Aluminum substrate for printed circuits, manufacturing method thereof, printed circuit board, and manufacturing method thereof
US7323230B2 (en) 2004-08-02 2008-01-29 Applied Materials, Inc. Coating for aluminum component
JP4395038B2 (ja) 2004-09-22 2010-01-06 富士フイルム株式会社 微細構造体およびその製造方法
US20060093736A1 (en) 2004-10-29 2006-05-04 Derek Raybould Aluminum articles with wear-resistant coatings and methods for applying the coatings onto the articles
US7732056B2 (en) 2005-01-18 2010-06-08 Applied Materials, Inc. Corrosion-resistant aluminum component having multi-layer coating
EP1715085B1 (en) * 2005-04-18 2013-04-03 FUJIFILM Corporation Method for producing anodized structure
KR100859955B1 (ko) 2005-04-22 2008-10-06 주식회사 코미코 플라즈마 처리 용기 내부재 및 그 제조 방법
KR101322549B1 (ko) 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
JP5019391B2 (ja) 2005-06-17 2012-09-05 国立大学法人東北大学 金属酸化物膜、積層体、金属部材並びにその製造方法
KR20070001722A (ko) 2005-06-29 2007-01-04 엘지.필립스 엘시디 주식회사 플라즈마 에칭 처리 장치
US8036402B2 (en) 2005-12-15 2011-10-11 Harman International Industries, Incorporated Distortion compensation
JP4563966B2 (ja) * 2006-05-31 2010-10-20 トーカロ株式会社 半導体加工装置用部材およびその製造方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US8642187B2 (en) 2006-12-28 2014-02-04 National University Corporation Tohoku University Structural member to be used in apparatus for manufacturing semiconductor or flat display, and method for producing the same
JP5162148B2 (ja) 2007-03-26 2013-03-13 株式会社アルバック 複合体およびその製造方法
US8128750B2 (en) * 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
JP5065772B2 (ja) 2007-06-08 2012-11-07 株式会社神戸製鋼所 プラズマ処理装置用部材およびその製造方法
WO2008157281A2 (en) * 2007-06-13 2008-12-24 Alcoa Inc. Coated metal article and method of manufacturing same
JP5064935B2 (ja) 2007-08-22 2012-10-31 株式会社神戸製鋼所 耐久性と低汚染性を兼備した陽極酸化処理アルミニウム合金
KR100820744B1 (ko) 2007-09-05 2008-04-11 (주)제이스 금속 모재의 텅스텐 코팅방법
JP2009099853A (ja) 2007-10-18 2009-05-07 Hitachi Metals Ltd 高耐食性r−t−b系希土類磁石
JP2009101299A (ja) 2007-10-24 2009-05-14 Fuji Xerox Co Ltd マイクロナノバブル発生方法、マイクロ流路の洗浄方法、マイクロナノバブル発生システム、及び、マイクロリアクター
JP5294048B2 (ja) 2007-12-05 2013-09-18 富士電機株式会社 アルミナナノホールアレー及び磁気記録媒体の作製方法
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP5693807B2 (ja) 2008-01-22 2015-04-01 東京エレクトロン株式会社 基板処理装置用の部品及び皮膜形成方法
US8349398B2 (en) * 2008-06-02 2013-01-08 Samsung Electro-Mechanics Co., Ltd. Normal pressure aerosol spray apparatus and method of forming a film using the same
WO2010064298A1 (ja) 2008-12-02 2010-06-10 株式会社神戸製鋼所 プラズマ処理装置用部材およびその製造方法
US20100155251A1 (en) * 2008-12-23 2010-06-24 United Technologies Corporation Hard anodize of cold spray aluminum layer
US20100170937A1 (en) * 2009-01-07 2010-07-08 General Electric Company System and Method of Joining Metallic Parts Using Cold Spray Technique
GB0909183D0 (en) * 2009-05-28 2009-07-08 Bedi Kathryn J Coating method
US20110206833A1 (en) 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
WO2012057963A2 (en) 2010-10-28 2012-05-03 Applied Materials, Inc. High purity aluminum coating hard anodization
KR20120077375A (ko) 2010-12-30 2012-07-10 엘아이지에이디피 주식회사 평판표시소자 제조장치의 진공챔버
WO2012122300A2 (en) 2011-03-07 2012-09-13 Apple Inc. Anodized electroplated aluminum structures and methods for making the same
CN103930586A (zh) 2011-09-26 2014-07-16 福吉米株式会社 含稀土元素的喷镀用粉末和覆膜、以及具备前述覆膜的构件
JP5936873B2 (ja) * 2012-02-20 2016-06-22 株式会社Uacj 酸化皮膜を介して溶接される用途用のアルミニウム材、ならびに、当該溶接用途用のアルミニウム材同士を用いた溶接構造体。
EP3241925B1 (en) * 2012-04-04 2018-12-12 Commonwealth Scientific and Industrial Research Organisation A process for producing a titanium load-bearing structure
US8591986B1 (en) * 2012-08-17 2013-11-26 General Electric Company Cold spray deposition method
CN102864479B (zh) 2012-09-21 2015-04-22 湖北大学 两步法低能耗制备高绝缘性阳极氧化铝薄膜的方法
US9818501B2 (en) 2012-10-18 2017-11-14 Ford Global Technologies, Llc Multi-coated anodized wire and method of making same
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
KR20160002702A (ko) 2013-02-19 2016-01-08 알루미플레이트, 인크. 알루미늄 필름의 접착을 개선시키는 방법
US9337002B2 (en) * 2013-03-12 2016-05-10 Lam Research Corporation Corrosion resistant aluminum coating on plasma chamber components
KR20150129660A (ko) * 2013-03-14 2015-11-20 어플라이드 머티어리얼스, 인코포레이티드 기판 상의 고순도 알루미늄 탑 코트
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US20140315392A1 (en) 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
CN103215589B (zh) * 2013-04-24 2015-04-08 中国科学院金属研究所 一种轻质合金表面防护涂层的制备方法
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101984258B1 (ko) * 2017-12-29 2019-05-30 주식회사 비스텔 스마트공장과 클라우드 서버간 컨버징 방법 및 시스템
KR20190135877A (ko) * 2018-05-29 2019-12-09 주식회사 메카로 반도체 웨이퍼용 고온 금속 히터블럭 및 이의 제조 방법
KR20240003668A (ko) * 2022-07-01 2024-01-09 임춘영 물리적 연마 단계 및 양극산화 단계를 포함하는 알루미늄 소재 리모트 플라즈마 소스 챔버의 표면 처리 방법

Also Published As

Publication number Publication date
TW201837244A (zh) 2018-10-16
JP2016537506A (ja) 2016-12-01
US9663870B2 (en) 2017-05-30
JP2020007643A (ja) 2020-01-16
CN105723503A (zh) 2016-06-29
TWI714045B (zh) 2020-12-21
US20170247795A1 (en) 2017-08-31
TWI633209B (zh) 2018-08-21
TWI659127B (zh) 2019-05-11
CN109989057A (zh) 2019-07-09
CN105723503B (zh) 2019-05-10
WO2015073456A1 (en) 2015-05-21
CN109989058A (zh) 2019-07-09
US20180105938A1 (en) 2018-04-19
US9879348B2 (en) 2018-01-30
TW201934793A (zh) 2019-09-01
JP6581978B2 (ja) 2019-09-25
US20190194817A1 (en) 2019-06-27
TW201522717A (zh) 2015-06-16
US10260160B2 (en) 2019-04-16
US20150132602A1 (en) 2015-05-14

Similar Documents

Publication Publication Date Title
US10260160B2 (en) High purity metallic top coat for semiconductor manufacturing components
US9624593B2 (en) Anodization architecture for electro-plate adhesion
JP7035005B2 (ja) 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料
KR102098926B1 (ko) 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅
US20180108517A1 (en) Coating architecture for plasma sprayed chamber components
KR102245044B1 (ko) 플라즈마 처리 챔버의 조밀한 산화물 코팅된 구성 요소 및 이의 제조 방법
TW201350209A (zh) 用於關鍵腔室組件的電漿噴灑塗佈製程改良
TWI608131B (zh) 基板上之高純度鋁頂塗層

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application