CN109989057A - 用于半导体制造部件的高纯度金属顶涂层 - Google Patents

用于半导体制造部件的高纯度金属顶涂层 Download PDF

Info

Publication number
CN109989057A
CN109989057A CN201910309420.0A CN201910309420A CN109989057A CN 109989057 A CN109989057 A CN 109989057A CN 201910309420 A CN201910309420 A CN 201910309420A CN 109989057 A CN109989057 A CN 109989057A
Authority
CN
China
Prior art keywords
coating
layer
anodization
product
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910309420.0A
Other languages
English (en)
Inventor
J·Y·孙
V·菲鲁兹多尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN109989057A publication Critical patent/CN109989057A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/34Anodisation of metals or alloys not provided for in groups C25D11/04 - C25D11/32
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/02Coating starting from inorganic powder by application of pressure only
    • C23C24/04Impact or kinetic deposition of particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/321Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer with at least one metal alloy layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • C23C28/3455Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer with a refractory ceramic layer, e.g. refractory metal oxide, ZrO2, rare earth oxides or a thermal barrier system comprising at least one refractory oxide layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/16Pretreatment, e.g. desmutting
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/18After-treatment, e.g. pore-sealing
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/26Anodisation of refractory metals or alloys based thereon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12743Next to refractory [Group IVB, VB, or VIB] metal-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/1275Next to Group VIII or IB metal-base component
    • Y10T428/12757Fe
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12764Next to Al-base component

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Electrochemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于涂覆在用于等离子体蚀刻的半导体制造腔室中使用的部件的方法包括以下步骤:提供用于半导体制造腔室中的部件;将所述部件加载到沉积腔室中;将金属粉末冷喷涂覆到所述部件上以在所述部件上形成涂层;以及阳极化所述涂层以形成阳极化层。

Description

用于半导体制造部件的高纯度金属顶涂层
本申请是申请日为2014年11月11日、申请号为201480062242.1、名称为“用于半导体制造部件的高纯度金属顶涂层”的中国专利申请的分案申请。
技术领域
本公开的实施例总体上关于半导体制造部件上的金属涂层,并且关于用于将金属涂层施加至基板的工艺。
背景技术
在半导体工业中,器件由生产日以减小的尺寸的结构的多个制造工艺制造而成。诸如等离子体蚀刻和等离子体清洁工艺之类的一些工艺将基板暴露于高速的等离子体流以蚀刻或清洁基板。等离子体可能是高度腐蚀性的,并且可能腐蚀处理腔室以及暴露于等离子体的其他表面。此腐蚀会生成粒子,所述粒子频繁地污染正在经处理的基板,从而导致器件缺陷(即,晶片上缺陷,诸如,粒子和金属污染)。
随着器件几何尺寸缩小,对缺陷的灵敏度增大,并且对粒子污染的可允许的等级可能降低。为了使由等离子体蚀刻和/或等离子体清洁工艺引入的粒子污染最小化,已开发具有耐等离子体性的腔室材料。不同材料提供不同的材料性质,诸如,等离子体耐受性、刚性、弯曲强度、抗热冲击性,等等。此外,不同的材料具有不同的材料成本。由此,一些材料具有优良的等离子体耐受性,其他材料具有较低的成本,而又一些其他材料具有优良的弯曲强度和/或抗热冲击性。
发明内容
在一个实施例中,一种方法包括以下步骤:提供用于半导体制造腔室中的部件;将所述部件加载到沉积腔室中;将金属粉末冷喷涂覆到所述部件上以在所述部件上形成涂层;以及阳极化所述涂层以形成阳极化层。
所述方法还可包括以下步骤:在阳极化所述涂层之前,抛光所述部件,使得所述部件的平均表面粗糙度小于约20微英寸。被冷喷涂覆到部件上的金属粉末可具有约100米/秒至约1500米/秒范围中的速度。可经由氮气或氩气的载气来喷涂所述粉末。
所述方法可包括:在冷喷涂覆之后,将所述部件加热至约200摄氏度至约1450摄氏度的范围中达大于约30分钟,以便在所述部件与所述涂层之间形成阻挡层。
所述涂层可具有0.1毫米至约40毫米范围中的厚度。所述部件可包括铝、铝、铝合金、不锈钢、钛、钛合金、镁或镁合金。所述金属粉末可包括铝、铝合金、钛、钛合金、铌、铌合金、锆、锆合金、铜或铜合金。
约1%至约50%的涂层可经阳极化以形成阳极化层。所述部件可以是喷淋头、阴极套管、套管衬垫门、阴极基座、腔室内衬或静电卡盘基座。
在一个实施例中,一种制品包括:部件,在用于等离子体蚀刻的半导体制造腔室中使用所述部件;金属粒子冷喷涂层,在所述部件上;以及阳极化层,由所述涂层形成。
附图说明
在所附附图的各图中,通过示例而非限制的方式说明本公开,在这些附图中,类似的元件符号指示类似的元件。应注意,在本公开中对“一”或“一个”实施例的不同的引用不一定是指同一个实施例,并且此类引用意味着至少一个实施例。
图1图示根据本发明的一个实施例的基板上的涂层;
图2是根据本发明的一个实施例的制造系统的示例性架构;
图3图示根据本发明的一个实施例的将涂层施加至基板的工艺;
图4图示根据本发明的一个实施例的使基板上的涂层阳极化的工艺;以及
图5图示根据本发明的一个实施例的、在基板上形成涂层的方法。
具体实施方式
本公开的实施例涉及用于将涂层施加至基板(诸如,用于半导体制造腔室内的部件)的工艺。能以金属粉末来冷喷涂覆用于半导体制造腔室中的部件以在所述部件上形成涂层,并且所述涂层可经阳极化以形成阳极化层。金属粉末的冷喷涂覆可提供致密且共形的涂层,所述涂层具有对腐蚀性等离子体化学品的增加的耐受性。所述涂层可由高纯度材料形成以降低腔室内部的金属污染水平。具有阳极化层的涂层可增加部件的使用寿命,并且减少在半导体制造期间的晶片上缺陷,因为所述涂层是耐腐蚀的。因此,可降低粒子污染的水平。
经冷喷涂覆的部件可由铝、铝合金、不锈钢、钛、钛合金、镁或镁合金形成。所述部件可以是喷淋头、阴极套管、套管衬垫门、阴极基座、腔室内衬、静电卡盘基座或处理腔室的另一部件。此外,在阳极化所述涂层之前,可抛光所述部件以降低平均表面粗糙度。此外,在所述涂层的冷喷涂覆之后,可加热所述部件以在所述部件与所述涂层之间形成阻挡层。
被冷喷涂覆到所述部件上的金属粉末可具有约100米/秒至约1500米/秒范围中的速度,并且可经由氮气或氩气载气被喷涂。所述涂层可具有约0.1毫米至约40毫米范围中的厚度。金属粉末可以是铝、铝合金、钛、钛合金、铌、铌合金、锆、锆合金、铜或铜合金。约1%至50%的涂层可经阳极化以形成所述阳极化层。
当本文中使用术语“约”和“大约”时,这些术语旨在意味着所呈现的标称值的精度在±10%内。也应注意,在本文中,参照在用于半导体制造的等离子体蚀刻器中使用的部件来描述一些实施例。然而,应当理解,也可使用此类等离子体蚀刻器来制造微机电系统(micro-electro-mechanical system;MEMS)装置。
图1图示根据一个实施例的具有涂层的部件100。部件100包括具有冷喷涂层104和阳极化层108的基板102。在一个实施例中,基板102可以是用于半导体制造腔室中的部件,诸如,喷淋头、阴极套管、套管衬垫门、阴极基座、腔室内衬、静电卡盘基座,等等。例如,基板102可由铝、铝合金(例如,Al 6061、Al 5058等)、不锈钢、钛、钛合金、镁以及镁合金形成。示出的腔室部件100用于表示性目的,并且不一定按比例。
在一个实施例中,在冷喷涂层104的形成之前,调整基板102的平均表面粗糙度。例如,基板102的平均表面粗糙度可以在约15微英寸至约300微英寸的范围中。在一个实施例中,基板具有始于或调整至约120微英寸的平均表面粗糙度。可(例如,通过珠粒喷击或打磨)增加平均表面粗糙度,或可(例如,通过喷砂或抛光)降低平均表面粗糙度。然而,制品的平均表面粗糙度可能已适合用于冷喷涂覆。由此,平均表面粗糙度调整可以是任选的。
冷喷涂层104可经由冷喷涂工艺形成。在一个实施例中,冷喷涂层可由金属粉末形成,诸如,铝(例如,高纯度铝)、铝合金、钛、钛合金、铌、铌合金、锆、锆合金、铜或铜合金。例如,冷喷涂层104可具有在约0.1毫米至约40毫米的范围中的厚度。在一个示例中,冷喷涂层的厚度约为1毫米。将在下文中更详细地描述冷喷涂工艺。
在一个实施例中,在施加冷喷涂层104之后,可热处理部件100。热处理可通过在冷喷涂层104与基板102之间形成反应区106来改善冷喷涂层104对基板102的粘合强度,从而使冷喷涂层最佳化。
随后,可经由阳极化工艺,由冷喷涂层104形成阳极化层108以密封并保护冷喷涂层104。在冷喷涂层104由铝形成的示例中,阳极化层108可由Al2O3形成。阳极化层108可具有约2密耳至约10密耳范围中的厚度。在一个实施例中,阳极化工艺是草酸(oxalic)或硬阳极化工艺。在一个示例中,阳极化工艺对约20%与约100%之间的冷喷涂层104进行阳极化以形成阳极化层108。在一个实施例中,阳极化约50%的冷喷涂层104。将在下文中更详细地描述阳极化工艺。
此外,冷喷涂层104在形成之后可具有相对较高的平均表面粗糙度(例如,具有约200微英寸的平均表面粗糙度)。在一个实施例中,在阳极化之前,改变冷喷涂层104的平均表面粗糙度。例如,可通过化学机械抛光(CMP)或机械抛光或其他适合的方法使冷喷涂层104的表面光滑。在一个示例中,改变冷喷涂层104的平均表面粗糙度以具有约2微英寸至约20微英寸范围中的粗糙度。
图2图示用于制造腔室部件(例如,图1的部件100)的制造系统200的示例性架构。制造系统200可以是用于制造用于半导体制造中的制品的系统,所述制品诸如,喷淋头、阴极套管、套管衬垫门、阴极基座、腔室内衬,或静电卡盘基座。在一个实施例中,制造系统200包括连接至装备自动化层215的处理装备201。处理装备201可包括冷喷涂覆机203、加热器204和/或阳极化器205。制造系统200可进一步包括连接至装备自动化层215的一个或更多个计算装置220。在替代实施例中,制造系统200可包括更多或更少部件。例如,制造系统200可包括人工操作的(例如,离线的)处理设备201而没有装备自动化层215或计算装置220。
在一个实施例中,湿法清洁机通过使用湿法清洁工艺来清洁制品,在所述湿法清洁工艺中,将制品浸没在湿浴中(例如,在进行平均表面粗糙度调整之后或在形成涂层或层之前)。在其他实施例中,替代类型的清洁机(诸如,干法清洁机)可用于清洁制品。干法清洁机可通过施加热、通过施加气体、通过施加等离子体等来清洁制品。
冷喷涂覆机203是经配置以将金属涂层施加至制品表面的系统。例如,金属涂层可由金属的金属粉末形成,所述金属诸如,铝、铝合金、钛、钛合金、铌、铌合金、锆、锆合金、铜或铜合金。在一个实施例中,冷喷涂覆机203通过冷喷涂工艺在制品上形成铝涂层,在所述冷喷涂工艺中,以高速率的速度将铝粉从喷嘴推至制品上,将在下文中将更详细地描述此工艺。在此,可均匀地涂覆制品的表面,因为可操纵制品和/或冷喷涂覆机203的喷嘴以获得均匀的涂层。在一个实施例中,冷喷涂覆机203可具有带卡盘的夹具以在涂覆期间固持制品。将在下文中更详细地描述冷喷涂层的形成。
在一个实施例中,在形成冷喷涂层之后,可在加热器204中烘焙(或热处理)制品达某时间段。加热器204可以是燃气炉或电炉。例如,取决于涂层与基板材料,可在约60℃至约1500℃之间的温度下经热处理制品达0.5小时至12小时。此热处理可在冷喷涂层与制品之间形成反应区或阻挡层,这可改善冷喷涂层与制品之间的粘合。
在一个实施例中,阳极化器205是经配置以由冷喷涂层形成阳极化层的系统。阳极化器205可包括电流供应器、阳极化浴以及阴极主体。例如,将制品(此制品可以是导电制品)浸没在阳极化浴中。阳极化浴可包括硫酸或草酸。将电流施加至制品,使得制品充当阳极且阴极主体充当阴极。随后,阳极化层形成在此制品上的冷喷涂层上,这将在下文中更详细地描述。
装备自动化层215可使制造机器201中的一些或全部与计算装置220、与其他制造机器、与计量工具和/或其他装置互连。装备自动化层215可包括网络(例如,局域网(LAN))、路由器、网关、服务器、数据存储,等等。制造机器201可经由SEMI装备通信标准/通用装备模型(SEMI Equipment Communications Standard/Generic Equipment Model;SECS/GEM)接口、经由以太网络接口和/或经由其他接口而连接至装备自动化层215。在一个实施例中,装备自动化层215使工艺数据(例如,由制造机器201在工艺执行期间收集到的数据)能够被存储在数据存储(未示出)中。在替代性实施例中,计算装置220直接连接至制造机器201中的一者或更多者。
在一个实施例中,制造机器201中的一些或全部包括可加载、存储和执行工艺配方的可编程控制器。可编程控制器可控制制造机器201的温度设定、气体和/或真空设定、时间设定,等等。可编程控制器可包括主存储器(例如,只读存储器(ROM)、闪存、动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM),等等)和/或次存储器(例如,数据存储装置,诸如,盘驱动器)。主存储器和/或次存储器可存储用于执行本文所述的热处理工艺的指令。
可编程控制器也可包括处理装置以执行指令,所述处理装置(例如,经由总线)耦接至主存储器和/或次级存储器。处理装置可以是通用处理装置,诸如,微处理器、中央处理单元,等等。处理装置也可以是专用处理装置,诸如,专用集成电路(ASIC)、现场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器,等等。在一个实施例中,可编程控制器是可编程逻辑控制器(PLC)。
图3图示冷喷涂工艺制造系统300的示例性架构,所述系统300用于在制品或基板上形成冷喷涂层。制造系统300包括沉积腔室302,所述沉积腔室302可包括平台304(或夹具)以用于安装基板306。在一个实施例中,基板306可以是图1的基板102。可经由真空系统308来减小沉积腔室302中的气压以避免氧化。包含金属粉末316(诸如,铝、铝合金、钛、钛合金、铌、铌合金、锆、锆合金、铜或铜合金)的粉末腔室310耦接至气体容器312,所述气体容器312包含载气318以用于推动金属粉末316。用于将金属粉末316引导至基板306上以形成冷喷涂层的喷嘴314耦接至粉末腔室310。
基板306可以是用于半导体制造的部件。此部件可以是半导体处理腔室的蚀刻反应器或热反应器的部件,等等。部件的示例包括喷淋头、阴极套管、套管衬垫门、阴极基座、腔室衬垫、静电卡盘基座,等等。基板306可部分地或完全由以下材料形成:铝、铝合金(例如,Al 6061、Al 5058,等等)、不锈钢、钛、钛合金、镁以及镁合金,或用于半导体制造腔室部件中的任何其他导电性材料。
在一个实施例中,在形成冷喷涂层之前,可将基板306的表面粗糙化以达到小于约100微英寸的平均表面粗糙度以改善涂层的附着。
在涂层的沉积期间,基板306可安装在沉积腔室302中的平台304上。平台304可以是可移动平台(例如,机动化平台),所述平台可在一维、二维,或三维中移动和/或绕一个或更多个方向旋转/倾斜。相应地,可将平台304移动至不同的位置以促进利用金属粉末316对基板306的涂覆,所述金属粉末316在载气中从喷嘴314中被推出。例如,由于经由冷喷涂的涂层施加是视线工艺,因此可移动平台304以涂覆基板306的不同部分或不同侧。如果基板306具有需涂覆的不同的侧或具有复杂的几何形状,则平台304可调整基板306相对于喷嘴314的位置,使得可涂覆整个组件。换言之,喷嘴314可从多个角度和定向选择性地瞄准基板306的某些部分。在一个实施例中,平台304也可具有冷却或加热通道以在涂层形成期间调整制品的温度。
在一个实施例中,可使用真空系统308来抽空制造系统300的沉积腔室302,使得真空存在于沉积腔室302中。例如,可将沉积腔室302内的压力降低至低于约0.1毫托。在沉积腔室302中提供真空可促进涂层的施加。例如,当金属粉末316在沉积腔室302处于真空下而行进至基板306时,从喷嘴中被推出的金属粉末316遭遇较少的抵抗。因此,金属粉末316能以更高速率的速度来冲击基板306,这促进对基板306的黏附以及涂层的形成,并且有助于降低诸如铝的高纯度材料的氧化水平。
气体容器312装盛加压的载气318,诸如,氮气或氩气。加压的载气318在压力下从气体容器312行进至粉末腔室310。当加压的载气318从粉末腔室310行进至喷嘴314时,载气318将一些金属粉末316推向喷嘴314。在一个示例中,气压可在约50Psi至约1000Psi范围中。在一个示例中,用于铝粉的气压为约500Psi。在另一示例中,用于锡粉和锌粉的气压低于约100Psi。
在一个实施例中,气体温度在约100℃至约1000℃的范围中。在另一示例中,气体温度在约325℃至约500℃的范围中。在一个实施例中,喷嘴处的气体温度在约120℃至约200℃的范围中。冲击基板306的金属粉末的温度可取决于气体温度、行进速度和基板306的尺寸。
在一个实施例中,涂层粉末316具有某种流动性。在一个示例中,粒子可具有在约1微米至约200微米的范围中的直径。在一个示例中,粒子可具有在约1微米至约50微米的范围中的直径。
当推动金属粉末316的悬浮物的载气318从喷嘴314中的开口进入沉积腔室302时,金属粉末316被推向基板306。在一个实施例中,载气318经加压,使得以约100米/秒至约1500米/秒的速度将涂层粉末316推向基板306。例如,能以约300米/秒至约800米/秒的速率将涂层粉末推向基板。
在一个实施例中,喷嘴314被形成为是耐磨的。由于涂层粉末316以高速度运动穿过喷嘴314,因此喷嘴314可能快速地磨损并降级。然而,喷嘴314可形成为形状且由材料形成,使得最小化或减少磨损,和/或喷嘴可被制作为消耗品零件。在一个实施例中,喷嘴直径可以在约1毫米(mm)至约15毫米的范围中。在一个示例中,喷嘴直径可以在约3毫米至约12毫米的范围中。例如,用于铝粉的喷嘴直径可以是约6.3毫米。在一个实施例中,喷嘴间距(即,从喷嘴314至基板306的距离)可以在约5毫米至约200毫米的范围中。例如,喷嘴间距可以在约10毫米至约50毫米的范围中。
在冲击基板306之后,金属粉末316的粒子由于动能而破裂并变形以产生附着至基板306的锚层(anchor layer)。当继续进行金属粉末316的施加时,这些粒子通过粘合至自身而成为冷喷涂层或膜。基板306上的冷喷涂层通过涂层粉末316的粒子在基板306上的连续的碰撞而继续生长。换言之,粒子以高速度彼此间以及与基板机械碰撞,以便裂解为更小的片来形成致密的层。显著的是,利用冷喷涂,粒子可不熔化和回流。
在一个实施例中,在对基板306的施加之后,保持金属粉末316的粒子的粒子晶体结构。在一个实施例中,当动能由于粒子在冲击基板306之后裂解为更小片而转化为热能时,可能发生部分熔化。这些粒子可能变得致密地粘合。如文中所提及,金属粉末在基板306上的温度可取决于气体温度、行进速度以及基板306的尺寸(例如,热质量)。
在一个实施例中,涂层沉积速率可以在约1克/分钟至约50克/分钟的范围中。例如,用于铝粉的涂层沉积速率可以在约1克/分钟至约20克/分钟的范围中。可通过更慢的馈料和更快的光栅(即,行进速度)来获得更致密的涂层。在一个实施例中,效率在约10%至约90%的范围中。例如,效率可以在约30%至约70%的范围中。较高的温度和较高气压可导致较高的效率。
在一个实施例中,涂层的平均表面粗糙度可增大(例如,通过珠粒喷击或打磨)或可降低(例如,通过喷砂或抛光)以实现约2微英寸至约300微英寸范围中的平均表面粗糙度,在一特定实施例中,表面粗糙度为约120微英寸。例如,可利用直径在约20微米至约300微米的范围中的Al2O3粒子对涂层进行珠粒喷击。在一个示例中,粒子可具有约100微米至约150微米范围中的直径。在一个实施例中,在调整平均表面粗糙度期间,可去除约10%与约50%之间的涂层。然而,制品的平均表面粗糙度可能已是适合的,因此,平均表面粗糙度调整可以是任选的。
与经由等离子体喷涂(此等离子体喷涂是在升高的温度下执行的热技术)的涂层施加不同,可在室温下或近似室温下执行经由一个实施例的冷喷涂层的施加。例如,取决于气体温度、行进速度和部件的尺寸,冷喷涂层的施加可在约15℃至约100℃下执行。在冷喷涂沉积的情况下,可不加热基板,并且施加工艺不显著地增加经涂覆的基板的温度。
此外,根据实施例的涂层由于凝固收缩而可具有极少或没有氧化物夹杂以及低孔隙度。
在一个实施例中,冷喷涂层可以是非常密集的,例如,大于约99%密度。此外,冷喷涂层可在没有夹层的情况下具有对基板的良好附着,例如,对于铝涂层为约4500Psi。
典型地,在粉末与冷喷涂层之间几乎没有或没有热诱发差异。换言之,粉末中的热诱发差异等于涂层中热诱发差异。同样典型地,在冷喷涂覆期间,对基板或部件的微结构几乎没有或没有损害。此外,冷喷涂层总体上展现高硬度和冷加工微结构。延性涂层材料的重度塑性形变而导致大量的冷加工发生,这产生对于涂层的机械特性和腐蚀特性有益的非常精细的晶粒结构。
冷喷涂层一般处于压缩模式中,此压缩模式有助于减少涂层分层、或涂层中的宏观或微观开裂。
在一个实施例中,可使用梯度沉积物以获得具有所需的机械特性和腐蚀特性的复合层。例如,首先沉积铝层,并且在此铝层的顶部上沉积铜层。
在一个实施例中,经涂覆的基板306可经受涂覆后工艺。清洁后工艺可以是热处理,此热处理可进一步控制涂层与基板之间的涂层界面以改善附着和/或产生阻挡层或反应区。在一个实施例中,可将经涂覆的基板加热至约200℃至约1450℃范围中的温度达大于约30分钟。例如,可将Y层加热至约750℃以将Y层的表面氧化成Y2O3,从而改良耐腐蚀性。
在一个实施例中,涂层与基板之间的阻挡层或反应区的形成阻止渗透涂层的工艺化学品与位于下方的基板的反应。这可使分层的发生最小化。反应区可增加陶瓷涂层的附着强度,并且可使剥落最小化。例如,阻挡层可以是在两种材料之间形成的金属间化合物或固溶体区域,诸如,Al层与Ti层之间的AlTi金属间化合物或固溶体。
反应区以取决于温度和时间的速率生长。随着温度升高以及热处理持续时间增加,反应区的厚度也增加。相应地,用于对部件进行热处理的一个或更多个温度以及持续时间应当选择为形成厚度不超过约5微米的反应区。在一个实施例中,选择温度和持续时间以使约0.1微米至约5微米的反应区形成。在一个实施例中,反应区具有足以在处理期间防止气体与陶瓷基板反应的最小厚度(例如,约0.1微米)。在一个实施例中,阻挡层具有1至2微米的目标厚度。
图4图示根据一个实施例的、用于阳极化制品403以由冷喷涂层409形成阳极化层411的工艺400。例如,制品403可以是图1的基板102。阳极化改变制品403的表面的微观纹理。相应地,图4仅用作说明目的,并且可能不是按比例的。在阳极化工艺之前,可在硝酸浴中清洁制品403。在阳极化之前,清洁可执行脱氧。
将具有冷喷涂层409的制品403连同阴极主体405一起浸没在阳极化浴401中。阳极化浴可包括酸溶液。用于阳极化铝涂层的阴极主体的示例包括铝合金(诸如,Al6061和Al3003)以及碳主体。通过经由电流供应器407使电流经过电解溶液或酸溶液(其中制品403是阳极(正电极))而由制品403上的冷喷涂层409生长阳极化层411。电流供应器407可以是电池或其他电力供应器。电流在阴极主体405(负电极)处释放氢气,并且在冷喷涂层409的表面处释放氧气,以便在冷喷涂层409上方形成阳极化层411。在铝冷喷涂层409的情况下,阳极化层是氧化铝。在一个实施例中,使用各种溶液实现阳极化的电压可在1伏特至300伏特的范围中。在一个实施例中,电压范围为15伏特至21伏特。阳极化电流随经阳极化的铝主体405的面积而改变,并且阳极化电流的范围可从30安培/平方米至300安培/平方米(2.8安培/平方英尺至28安培/平方英尺)。
酸溶液溶解(即,消耗或转化)冷喷涂层409的表面以形成孔隙层(例如,柱状纳米孔隙)。阳极化层411继续由此纳米孔隙层生长。纳米孔隙可具有约10纳米至约50纳米范围中的直径。在一个实施例中,纳米孔隙具有约30纳米的平均直径。
酸溶液可以是草酸、硫酸、草酸与硫酸的组合。对于草酸,制品消耗与阳极化层生长的比率为约1:1。控制电解液浓度、酸度、溶液温度和电流以由冷喷涂层409形成一致的氧化铝阳极化层411。在一个实施例中,阳极化层411可生长为具有约300纳米至约200微米范围中的厚度。在一个实施例中,阳极化层的形成消耗约5%至约100%范围中的百分比的冷喷涂层。在一个示例中,阳极化层的形成消耗约50%的冷喷涂层。
在一个实施例中,电流密度初始为高(大于99%)以生长阳极化层中的非常致密(大于99%)的阻挡层部分,随后,减小电流密度以生长阳极化层中多孔的柱状层部分。在用草酸来形成阳极化层的一个实施例中,孔隙率在约40%至约50%的范围中,并且孔隙具有在约10纳米至约50纳米的范围中的直径。
在一个实施例中,阳极化层的平均表面粗糙度(Ra)在约15微英寸至约300微英寸的范围中,这与制品的初始粗糙度类似。在一个实施例中,平均表面粗糙度为约120微英寸。
表A显示用于检测Al6061制品中以及Al6061制品上的阳极化冷喷涂高纯度铝涂层中的金属杂质的感性耦合等离子体质谱分析(Induction Coupled Plasma MassSpectroscopy;ICP-MS)的结果。在此示例中,Al6061制品上的阳极化冷喷涂高纯度铝涂层显示出比与无涂层的6061铝部件显著更少的微量金属污染。
图5是图示根据本公开的实施例的、用于制造经涂覆的部件的方法500的流程图。方法500可通过使用图2的制造系统200来执行。
在框502处,提供用于半导体制造环境中的部件。例如,如上文所述,此部件可以是基板,诸如,喷淋头、阴极套管、套管衬垫门、阴极基座、腔室衬垫、静电卡盘基座,等等。例如,基板可由铝、铝合金(例如,铝6061、铝5058等)、不锈钢、钛、钛合金、镁以及镁合金形成。
在框504处,将部件加载到沉积腔室中。沉积腔室可以是上述的沉积腔室302。
在框506处,通过将纳米粒子金属粉末喷涂到部件上来将冷喷涂层涂覆在部件上,其中冷喷涂层可具有约0.5毫米至约2毫米范围中的厚度。例如,金属粉末可包括铝(例如,高纯度铝)、铝合金、钛、钛合金、铌、铌合金、锆、锆合金、铜或铜合金。金属粉末可悬浮在氮气或氩气之气体中。
在框508处,此方法进一步包括以下步骤:根据一个实施例,热处理经涂覆的部件以在部件与涂层之间形成反应区或阻挡层。例如,可将经涂覆的部件加热至1450℃达多于30分钟。
在框510处,此方法进一步包括以下步骤:根据一个实施例,制备部件的表面。例如,冷喷涂层可能具有不是理想的平均表面粗糙度。由此,可使冷喷涂层的平均表面粗糙度光滑以降低平均表面粗糙度(例如,通过抛光)或使所述平均表明粗糙度粗糙化以增加平均表面粗糙度(例如,通过珠粒喷击或打磨)。
在框512处,阳极化冷喷涂层以形成阳极化层。在冷喷涂层是铝的示例中,阳极化层可以是氧化铝,并且阳极化层的形成可消耗约5%至约100%范围中的百分比的冷喷涂层。
上文的描述陈述了众多特定的细节(诸如,特定的系统、部件、方法等的示例)以提供对本公开的若干实施例的良好理解。然而,对本领域技术人员将显而易见的是,可在不具有这些特定细节的情况下来实践本公开的至少一些实施例。在其他实例中,不详细地描述或仅以简单的框图格式呈现公知的部件或方法,以避免不必要地使本公开含糊。由此,所陈述的特定的细节仅是示例性的。特定的实现可与这些示例性细节有所不同,而仍被视为在本公开的范围内。
贯穿说明书对“一个实施例”或“实施例”的引用意味着结合所述实施例描述的特定的特征、结构或特性被包括在至少一个实施例中。由此,短语“在一个实施例中”或“在实施例中”在本说明书全文中多处出现不一定全都是指相同的实施例。此外,术语“或”旨在意味着包含性的“或”而非排他性的“或”。
尽管以特定的顺序示出并描述本文中的方法的操作,但是可更改每一种方法的操作顺序,使得可逆序地执行某些操作,或使得某些操作可至少部分地与其他操作同时执行。在另一实施例中,不同操作的指令或子操作能以间歇性和/或交替方式执行。
将理解,上述描述旨在是说明性而非限制性的。许多其他实施例将在本领域技术人员阅读并理解以上描述后对他们是显而易见的。因此,应当参照所附权利要求书以及此类权利要求书要求授予权利的等效方案的完整范围来确定本公开的范围。

Claims (20)

1.一种制品,所述制品包括:
部件,所述部件用于制造腔室;
涂层,在所述部件上;以及
阳极化层,所述阳极化层形成在所述涂层上,所述阳极化层具有约2-10密尔的厚度,其中,所述阳极化层包括低孔隙率层部分和多孔的柱状层部分,所述低孔隙率层部分具有大于99%的密度,所述多孔的柱状层部分具有比所述低孔隙率层部分更高的孔隙率并且包含多个具有约10-50nm的直径的柱状纳米孔隙。
2.如权利要求1所述的制品,其中,所述涂层是金属冷喷涂层。
3.如权利要求1或2所述的制品,其中,所述制品进一步包括在所述部件和所述涂层之间的阻挡层。
4.如权利要求3所述的制品,其中,所述阻挡层具有约0.1微米至5微米范围中的厚度。
5.如权利要求3所述的制品,其中,所述部件包含铝或钛中的第一个,其中所述涂层包含铝或钛中的第二个,并且其中所述阻挡层包含铝和钛的固溶体。
6.如权利要求1或2所述的制品,其中,所述部件包含以下各者中的至少一者:铝、铝合金、不锈钢、钛、钛合金、镁或镁合金。
7.如权利要求1或2所述的制品,其中,所述涂层包含铝、铝合金、钛、钛合金、铌、铌合金、锆、锆合金、铜或铜合金。
8.如权利要求1或2所述的制品,其中,所述多孔的柱状层部分具有约40-50%的孔隙率。
9.如权利要求1或2所述的制品,其中,所述部件具有约120微英寸的平均表面粗糙度。
10.如权利要求1或2所述的制品,其中,所述涂层包含第一金属和第二金属的梯度。
11.如权利要求1或2所述的制品,其中,所述涂层具有约0.2mm至5.0mm的厚度,并且具有小于约20微英寸的平均表面粗糙度。
12.如权利要求1或2所述的制品,其中,所述涂层没有氧化物夹杂。
13.如权利要求1或2所述的制品,其中,所述部件是喷淋头、阴极套管、套管衬垫门、阴极基座、腔室内衬或静电卡盘基座。
14.一种制品,所述制品包括制造腔室的部件、所述部件的表面上的涂层以及所述涂层上的阳极化层,所述制品通过包括以下步骤的过程被制造:
在所述部件的所述表面上沉积涂层;以及
阳极化所述涂层以形成阳极化层,所述阳极化层具有约2-10密耳的厚度,其中阳极化所述涂层包括:
在所述阳极化的开始期间施加第一电流密度以形成所述阳极化层的低孔隙率层部分,所述低孔隙率层部分具有大于约99%的密度;以及
在所述阳极化的剩余时期期间施加低于所述第一电流密度的第二电流密度以形成所述阳极化层的多孔的柱状层部分,所述多孔的柱状层部分具有比所述低孔隙率层部分更高的孔隙率并且包含多个具有约10-50nm的直径的柱状纳米孔隙。
15.如权利要求14所述的制品,其中,所述阳极化层的所述多孔的柱状层部分具有约40-50%的孔隙率。
16.一种方法,包括:
将金属粉末冷喷涂覆到制品上,以在所述制品上形成涂层;以及
阳极化所述涂层以形成阳极化层,所述阳极化层具有约2-10密尔的厚度,其中阳极化所述涂层包括:
在所述阳极化的开始期间施加第一电流密度以形成所述阳极化层的低孔隙率层部分,所述低孔隙率层部分具有大于约99%的密度;以及
在所述阳极化的剩余时期期间施加低于所述第一电流密度的第二电流密度以在所述低孔隙率层部分上形成所述阳极化层的多孔的柱状层部分,所述多孔的柱状层部分包含多个具有约10-50nm的直径的柱状纳米孔隙。
17.如权利要求16所述的方法,其中,所述多孔的柱状层部分具有约40-50%的孔隙率。
18.如权利要求16或17所述的方法,进一步包括:
在阳极化所述涂层之前,执行所述涂层的化学机械抛光(CMP)以使所述涂层具有小于约20微英寸的平均表面粗糙度。
19.如权利要求16或17所述的方法,进一步包括:
通过在所述冷喷涂覆之后将所述制品加热至约200摄氏度至约1450摄氏度的范围中的温度达多于约30分钟,来在所述制品和所述涂层之间形成阻挡层,其中所述阻挡层具有约0.5-5.0微米的厚度。
20.如权利要求16或17所述的方法,其中,所述涂层包含第一金属和第二金属的混合物,并且其中沉积所述涂层包括调节所述第一金属和所述第二金属的百分比以使所述涂层具有所述第一金属和所述第二金属的梯度。
CN201910309420.0A 2013-11-13 2014-11-11 用于半导体制造部件的高纯度金属顶涂层 Pending CN109989057A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/079,586 US9663870B2 (en) 2013-11-13 2013-11-13 High purity metallic top coat for semiconductor manufacturing components
US14/079,586 2013-11-13
CN201480062242.1A CN105723503B (zh) 2013-11-13 2014-11-11 用于半导体制造部件的高纯度金属顶涂层

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201480062242.1A Division CN105723503B (zh) 2013-11-13 2014-11-11 用于半导体制造部件的高纯度金属顶涂层

Publications (1)

Publication Number Publication Date
CN109989057A true CN109989057A (zh) 2019-07-09

Family

ID=53044051

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201910309420.0A Pending CN109989057A (zh) 2013-11-13 2014-11-11 用于半导体制造部件的高纯度金属顶涂层
CN201480062242.1A Expired - Fee Related CN105723503B (zh) 2013-11-13 2014-11-11 用于半导体制造部件的高纯度金属顶涂层
CN201910311013.3A Pending CN109989058A (zh) 2013-11-13 2014-11-11 用于半导体制造部件的高纯度金属顶涂层

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201480062242.1A Expired - Fee Related CN105723503B (zh) 2013-11-13 2014-11-11 用于半导体制造部件的高纯度金属顶涂层
CN201910311013.3A Pending CN109989058A (zh) 2013-11-13 2014-11-11 用于半导体制造部件的高纯度金属顶涂层

Country Status (6)

Country Link
US (4) US9663870B2 (zh)
JP (2) JP6581978B2 (zh)
KR (1) KR20160084386A (zh)
CN (3) CN109989057A (zh)
TW (3) TWI633209B (zh)
WO (1) WO2015073456A1 (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9335296B2 (en) 2012-10-10 2016-05-10 Westinghouse Electric Company Llc Systems and methods for steam generator tube analysis for detection of tube degradation
JP6449224B2 (ja) * 2013-03-14 2019-01-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板上の高純度アルミニウムトップコート
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US20150187615A1 (en) * 2013-12-31 2015-07-02 Lam Research Corporation Component of a plasma processing apparatus including an electrically conductive and nonmagnetic cold sprayed coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
CN104294206B (zh) * 2014-10-09 2016-05-04 沈阳富创精密设备有限公司 一种半导体装备用抗高温蠕变接地基片的制备方法
US9548518B2 (en) * 2014-12-16 2017-01-17 General Electric Company Methods for joining ceramic and metallic structures
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US20180195196A1 (en) * 2017-01-06 2018-07-12 Mks Instruments, Inc. Protective oxide coating with reduced metal concentrations
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
RU2677906C1 (ru) * 2017-11-03 2019-01-22 Федеральное государственное бюджетное учреждение науки Институт металлургии и материаловедения им. А.А. Байкова Российской академии наук (ИМЕТ РАН) Способ комбинированного упрочнения поверхностей деталей
KR101984258B1 (ko) * 2017-12-29 2019-05-30 주식회사 비스텔 스마트공장과 클라우드 서버간 컨버징 방법 및 시스템
KR102098470B1 (ko) * 2018-05-29 2020-04-08 최 윤 반도체 웨이퍼용 고온 금속 히터블럭 및 이의 제조 방법
US11384430B2 (en) * 2018-07-03 2022-07-12 Lam Research Corporation Method for conditioning a ceramic coating
JP7140329B2 (ja) * 2018-08-10 2022-09-21 地方独立行政法人山口県産業技術センター 陽極酸化チタン材及びその製造方法
US11584985B2 (en) 2018-08-13 2023-02-21 Honeywell International Inc. Sputter trap having a thin high purity coating layer and method of making the same
CN109609993B (zh) * 2018-12-27 2020-11-24 浙江工业大学 一种氮化钛铌纳米管阵列的制备方法
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US11935662B2 (en) 2019-07-02 2024-03-19 Westinghouse Electric Company Llc Elongate SiC fuel elements
KR102523509B1 (ko) 2019-09-19 2023-04-18 웨스팅하우스 일렉트릭 컴퍼니 엘엘씨 콜드 스프레이 침착물의 현장 접착 테스트를 수행하기 위한 장치 및 사용 방법
WO2021163053A1 (en) * 2020-02-13 2021-08-19 Lam Research Corporation Coating for plasma processing chamber part
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法
EP3936640A1 (de) * 2020-07-10 2022-01-12 Siemens Aktiengesellschaft Farbig eloxierte stromschienen mit kaltgasbeschichtung für stromrichter
US11746434B2 (en) 2021-07-21 2023-09-05 Battelle Energy Alliance, Llc Methods of forming a metal coated article
US20230312422A1 (en) * 2022-04-01 2023-10-05 Applied Materials, Inc. Ceramic engineering by grading materials
KR102625872B1 (ko) * 2022-07-01 2024-01-15 임춘영 물리적 연마 단계 및 양극산화 단계를 포함하는 알루미늄 소재 리모트 플라즈마 소스 챔버의 표면 처리 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2763344A1 (en) * 2009-05-28 2010-12-02 Rrg Coatings Limited Coating method
CN103215589A (zh) * 2013-04-24 2013-07-24 中国科学院金属研究所 一种轻质合金表面防护涂层的制备方法

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3151948A (en) 1959-06-26 1964-10-06 Nat Res Corp Coating
US3969195A (en) 1971-05-07 1976-07-13 Siemens Aktiengesellschaft Methods of coating and surface finishing articles made of metals and their alloys
JPS5671821A (en) 1979-11-14 1981-06-15 Hitachi Ltd Substrate for magnetic disc and its manufacture
CA1232227A (en) 1982-02-18 1988-02-02 Christopher Vance Manufacturing electrode by immersing substrate in aluminium halide and other metal solution and electroplating
GB8315203D0 (en) 1983-06-02 1983-07-06 Secr Defence Surface pretreatment of aluminium and aluminium alloys
EP0309831A1 (de) 1987-09-29 1989-04-05 Siemens Aktiengesellschaft Ionensperrschicht auf Metallen und Nichtmetallen
JPH0191319A (ja) 1987-09-30 1989-04-11 Noboru Tsuya 磁気ディスクの製造方法
JPH01156496A (ja) 1987-12-11 1989-06-20 Shinku Zairyo Kk 耐蝕被覆方法
US4883541A (en) * 1989-01-17 1989-11-28 Martin Marietta Corporation Nonchromate deoxidizer for aluminum alloys
US5192610A (en) 1990-06-07 1993-03-09 Applied Materials, Inc. Corrosion-resistant protective coating on aluminum substrate and method of forming same
US5069938A (en) 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5104514A (en) 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
JP2984116B2 (ja) 1991-10-30 1999-11-29 日新製鋼株式会社 半導体素子搭載基板
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
JP2900820B2 (ja) * 1995-03-24 1999-06-02 株式会社神戸製鋼所 AlまたはAl合金製真空チャンバ部材の表面処理方法
JP2943634B2 (ja) * 1994-11-16 1999-08-30 株式会社神戸製鋼所 AlまたはAl合金製真空チャンバ部材の表面処理方法
KR100473691B1 (ko) 1994-11-16 2005-04-14 가부시키가이샤 고베 세이코쇼 Al또는Al합금제진공챔버부재
JP2900822B2 (ja) * 1994-11-16 1999-06-02 株式会社神戸製鋼所 AlまたはAl合金製真空チャンバ部材
JP3761040B2 (ja) 1995-06-26 2006-03-29 株式会社アルバック 真空装置用構造材料および真空装置用構造部材
JPH0953196A (ja) * 1995-08-15 1997-02-25 Nikkoshi Prod Kk 電極材料と、その製造方法
JP2901907B2 (ja) 1996-01-10 1999-06-07 アプライド マテリアルズ インコーポレイテッド プロセスチャンバウィンドウ
JPH111797A (ja) * 1997-06-09 1999-01-06 Kobe Steel Ltd AlまたはAl合金製真空チャンバ部材
JP4194143B2 (ja) 1998-10-09 2008-12-10 株式会社神戸製鋼所 ガス耐食性とプラズマ耐食性に優れたアルミニウム合金材
JP4068742B2 (ja) 1998-12-11 2008-03-26 株式会社神戸製鋼所 耐熱割れ性及び耐食性に優れた半導体製造装置用陽極酸化皮膜被覆部材の製造方法
US6166172A (en) 1999-02-10 2000-12-26 Carnegie Mellon University Method of forming poly-(3-substituted) thiophenes
US6466881B1 (en) 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
SG98436A1 (en) * 1999-12-21 2003-09-19 United Technologies Corp Method of forming an active-element containing aluminide as stand alone coating and as bond coat and coated article
TW488010B (en) 2000-02-04 2002-05-21 Kobe Steel Ltd Chamber member made of aluminum alloy and heater block
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US7132212B2 (en) 2001-06-13 2006-11-07 Fuji Photo Film Co., Ltd. Presensitized plate
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
JP2003034894A (ja) 2001-07-25 2003-02-07 Kobe Steel Ltd 耐腐食性に優れたAl合金部材
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7048814B2 (en) 2002-02-08 2006-05-23 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US7033447B2 (en) 2002-02-08 2006-04-25 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6659331B2 (en) 2002-02-26 2003-12-09 Applied Materials, Inc Plasma-resistant, welded aluminum structures for use in semiconductor apparatus
DE10224777A1 (de) * 2002-06-04 2003-12-18 Linde Ag Verfahren und Vorrichtung zum Kaltgasspritzen
CA2495197A1 (en) 2002-08-13 2004-02-19 Hydrogenics Corporation Corrosion resistant terminal plate and method for producing same
DE10248118B4 (de) 2002-10-10 2011-07-21 Süddeutsche Aluminium Manufaktur GmbH, 89558 Verfahren zum Aufbringen eines dünnkeramischen Beschichtungsmaterials auf eine zu beschichtende Oberfläche eines Kraftfahrzeug-Anbauteils und Kraftfahrzeug-Anbauteil
TW561068B (en) * 2002-11-29 2003-11-11 Au Optronics Corp Nozzle head with excellent corrosion resistance for dry etching process and anti-corrosion method thereof
JP2004225113A (ja) 2003-01-23 2004-08-12 Kobe Steel Ltd 耐腐食性及び耐プラズマ性に優れたAl合金部材
WO2004087994A1 (en) * 2003-03-31 2004-10-14 Sheffield Hallam University Base for decorative layer
US20040221959A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support
JP2005013153A (ja) * 2003-06-27 2005-01-20 Daiwa Seiko Inc 魚釣用リールの構成部材
WO2005122660A1 (en) 2004-06-10 2005-12-22 Showa Denko K.K. Aluminum substrate for printed circuits, manufacturing method thereof, printed circuit board, and manufacturing method thereof
US7323230B2 (en) 2004-08-02 2008-01-29 Applied Materials, Inc. Coating for aluminum component
JP4395038B2 (ja) 2004-09-22 2010-01-06 富士フイルム株式会社 微細構造体およびその製造方法
US20060093736A1 (en) 2004-10-29 2006-05-04 Derek Raybould Aluminum articles with wear-resistant coatings and methods for applying the coatings onto the articles
US7732056B2 (en) 2005-01-18 2010-06-08 Applied Materials, Inc. Corrosion-resistant aluminum component having multi-layer coating
US20060234396A1 (en) * 2005-04-18 2006-10-19 Fuji Photo Film Co., Ltd. Method for producing structure
KR100859955B1 (ko) 2005-04-22 2008-10-06 주식회사 코미코 플라즈마 처리 용기 내부재 및 그 제조 방법
EP1914330A4 (en) 2005-06-17 2010-03-03 Univ Tohoku PROTECTION FILM STRUCTURE OF METAL ELEMENT, METAL COMPONENT WITH PROTECTIVE FILM STRUCTURE AND DEVICE FOR PRODUCING A SEMICONDUCTOR OR A FLAT DISPLAY WITH PROTECTIVE FILM STRUCTURE
KR101297489B1 (ko) 2005-06-17 2013-08-16 미쓰비시 가가꾸 가부시키가이샤 금속 산화물막, 적층체, 금속 부재 및 그 제조 방법
KR20070001722A (ko) 2005-06-29 2007-01-04 엘지.필립스 엘시디 주식회사 플라즈마 에칭 처리 장치
US8036402B2 (en) 2005-12-15 2011-10-11 Harman International Industries, Incorporated Distortion compensation
JP4563966B2 (ja) * 2006-05-31 2010-10-20 トーカロ株式会社 半導体加工装置用部材およびその製造方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JPWO2008081748A1 (ja) 2006-12-28 2010-04-30 国立大学法人東北大学 半導体又は平面デイスプレイの製造装置に使用される構造部材とその製造方法
JP5162148B2 (ja) 2007-03-26 2013-03-13 株式会社アルバック 複合体およびその製造方法
US8128750B2 (en) * 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
JP5065772B2 (ja) 2007-06-08 2012-11-07 株式会社神戸製鋼所 プラズマ処理装置用部材およびその製造方法
WO2008157281A2 (en) * 2007-06-13 2008-12-24 Alcoa Inc. Coated metal article and method of manufacturing same
JP5064935B2 (ja) 2007-08-22 2012-10-31 株式会社神戸製鋼所 耐久性と低汚染性を兼備した陽極酸化処理アルミニウム合金
KR100820744B1 (ko) 2007-09-05 2008-04-11 (주)제이스 금속 모재의 텅스텐 코팅방법
JP2009099853A (ja) 2007-10-18 2009-05-07 Hitachi Metals Ltd 高耐食性r−t−b系希土類磁石
JP2009101299A (ja) 2007-10-24 2009-05-14 Fuji Xerox Co Ltd マイクロナノバブル発生方法、マイクロ流路の洗浄方法、マイクロナノバブル発生システム、及び、マイクロリアクター
JP5294048B2 (ja) 2007-12-05 2013-09-18 富士電機株式会社 アルミナナノホールアレー及び磁気記録媒体の作製方法
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP5693807B2 (ja) 2008-01-22 2015-04-01 東京エレクトロン株式会社 基板処理装置用の部品及び皮膜形成方法
US8349398B2 (en) * 2008-06-02 2013-01-08 Samsung Electro-Mechanics Co., Ltd. Normal pressure aerosol spray apparatus and method of forming a film using the same
WO2010064298A1 (ja) 2008-12-02 2010-06-10 株式会社神戸製鋼所 プラズマ処理装置用部材およびその製造方法
US20100155251A1 (en) * 2008-12-23 2010-06-24 United Technologies Corporation Hard anodize of cold spray aluminum layer
US20100170937A1 (en) * 2009-01-07 2010-07-08 General Electric Company System and Method of Joining Metallic Parts Using Cold Spray Technique
US20110206833A1 (en) 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
JP6100691B2 (ja) 2010-10-28 2017-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高純度アルミニウムコーティングの硬質陽極酸化処理
KR20120077375A (ko) 2010-12-30 2012-07-10 엘아이지에이디피 주식회사 평판표시소자 제조장치의 진공챔버
EP2683854B1 (en) 2011-03-07 2019-07-17 Apple Inc. Anodized electroplated aluminum structures
US9528176B2 (en) 2011-09-26 2016-12-27 Fujimi Incorporated Thermal spray powder and film that contain rare-earth element, and member provided with film
JP5936873B2 (ja) * 2012-02-20 2016-06-22 株式会社Uacj 酸化皮膜を介して溶接される用途用のアルミニウム材、ならびに、当該溶接用途用のアルミニウム材同士を用いた溶接構造体。
KR102131464B1 (ko) * 2012-04-04 2020-07-08 코몬웰스 싸이언티픽 엔드 인더스트리얼 리서치 오가니제이션 티타늄 하중 지지 구조물의 제조 방법
US8591986B1 (en) * 2012-08-17 2013-11-26 General Electric Company Cold spray deposition method
CN102864479B (zh) 2012-09-21 2015-04-22 湖北大学 两步法低能耗制备高绝缘性阳极氧化铝薄膜的方法
US9818501B2 (en) 2012-10-18 2017-11-14 Ford Global Technologies, Llc Multi-coated anodized wire and method of making same
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
WO2014130453A1 (en) 2013-02-19 2014-08-28 Alumiplate, Inc. Methods for improving adhesion of aluminum films
US9337002B2 (en) * 2013-03-12 2016-05-10 Lam Research Corporation Corrosion resistant aluminum coating on plasma chamber components
JP6449224B2 (ja) * 2013-03-14 2019-01-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板上の高純度アルミニウムトップコート
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US20140315392A1 (en) 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US9624593B2 (en) * 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2763344A1 (en) * 2009-05-28 2010-12-02 Rrg Coatings Limited Coating method
CN103215589A (zh) * 2013-04-24 2013-07-24 中国科学院金属研究所 一种轻质合金表面防护涂层的制备方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
钱苗根: "《现代表面工程》", 30 September 2012, 上海交通大学出版社 *

Also Published As

Publication number Publication date
WO2015073456A1 (en) 2015-05-21
US9879348B2 (en) 2018-01-30
KR20160084386A (ko) 2016-07-13
TW201837244A (zh) 2018-10-16
US9663870B2 (en) 2017-05-30
TWI633209B (zh) 2018-08-21
US10260160B2 (en) 2019-04-16
TWI714045B (zh) 2020-12-21
TWI659127B (zh) 2019-05-11
TW201934793A (zh) 2019-09-01
CN109989058A (zh) 2019-07-09
CN105723503B (zh) 2019-05-10
JP6581978B2 (ja) 2019-09-25
US20170247795A1 (en) 2017-08-31
CN105723503A (zh) 2016-06-29
JP2016537506A (ja) 2016-12-01
TW201522717A (zh) 2015-06-16
US20180105938A1 (en) 2018-04-19
US20190194817A1 (en) 2019-06-27
US20150132602A1 (en) 2015-05-14
JP2020007643A (ja) 2020-01-16

Similar Documents

Publication Publication Date Title
CN105723503B (zh) 用于半导体制造部件的高纯度金属顶涂层
CN105453241B (zh) 用于电镀粘附的阳极化架构
CN104704606B (zh) 针对先进元件的晶圆上粒子性能的化学相容性涂层材料
CN107382376B (zh) 陶瓷物品
KR20170005784A (ko) 상 및 응력 조절을 이용한 플라즈마 스프레이 설계
EP3421646A1 (en) Colouring method of aluminium alloy member
US20170256401A1 (en) Emissivity, surface finish and porosity control of semiconductor reactor components
US20230234160A1 (en) Diffusion bonding of pure metal bodies

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190709