KR20140112347A - 소스/드레인에 하부 SiGe 층을 갖는 FinFET - Google Patents

소스/드레인에 하부 SiGe 층을 갖는 FinFET Download PDF

Info

Publication number
KR20140112347A
KR20140112347A KR1020130058486A KR20130058486A KR20140112347A KR 20140112347 A KR20140112347 A KR 20140112347A KR 1020130058486 A KR1020130058486 A KR 1020130058486A KR 20130058486 A KR20130058486 A KR 20130058486A KR 20140112347 A KR20140112347 A KR 20140112347A
Authority
KR
South Korea
Prior art keywords
layer
drain
source
finfet
fin structure
Prior art date
Application number
KR1020130058486A
Other languages
English (en)
Other versions
KR101492719B1 (ko
Inventor
밍-화 유
페이-렌 젱
체-리앙 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20140112347A publication Critical patent/KR20140112347A/ko
Application granted granted Critical
Publication of KR101492719B1 publication Critical patent/KR101492719B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

FinFET은 기판, 기판 상의 핀 구조, 핀 구조 내의 소스, 핀 구조 내의 드레인, 소스와 드레인 사이의 핀 구조 내의 채널, 채널 위의 게이트 유전체 층 및 게이트 유전체 층 위의 게이트를 포함한다. 소스와 드레인 중 적어도 하나는 하부 SiGe 층을 포함한다.

Description

소스/드레인에 하부 SiGe 층을 갖는 FinFET{FINFET WITH BOTTOM SIGE LAYER IN SOURCE/DRAIN}
본 개시는 일반적으로 반도체 디바이스에 관한 것으로, 보다 상세하게는 FinFET에 관한 것이다.
일부 FinFET 디바이스에서, 디바이스의 크기가 감소됨에 따라, 약한 구동 전류 및 단채널 효과가 난제가 되고 있다. 개선된 구동 전류 및 감소된 단채널 효과를 갖는 FinFET가 바람직하다.
FinFET은 기판, 기판 상의 핀 구조, 핀 구조 내의 소스, 핀 구조 내의 드레인, 소스와 드레인 사이의 핀 구조 내의 채널, 채널 위의 게이트 유전체 층 및 게이트 유전체 층 위의 게이트를 포함한다. 소스와 드레인 중 적어도 하나는 하부 SiGe 층을 포함한다.
이제 첨부 도면과 함께 취한 다음의 설명을 참조한다.
도 1은 일부 실시예에 따른 예시적인 FinFET의 개략도이다.
도 2는 일부 실시예에 따른 도 1의 예시적인 FinFET에 대해 채널 변형 대 Fin 상부로부터의 거리의 그래프이다.
도 3a는 일부 실시예에 따른 도 1의 예시적인 FinFET의 구동 전류 대 게이트 길이의 그래프이다.
도 3b는 일부 실시예에 따른 도 1의 예시적인 FinFET의 총 저항 대 게이트 길이의 그래프이다.
도 4는 일부 실시예에 따른 다른 예시적인 FinFET의 개략도이다.
도 5는 일부 실시예에 따른 또 다른 예시적인 FinFET의 개략도이다.
도 6a 내지 도 6e는 일부 실시예에 따라 도 4의 예시적인 FinFET를 제조하는 중간 단계들이다.
다양한 실시예를 형성하고 사용하는 것이 아래에 상세하게 설명된다. 그러나, 본 개시는 광범위하게 다양한 구체적 상황에서 구현될 수 있는 많은 적용가능한 발명의 개념을 제공하는 것임을 알아야 한다. 설명되는 구체적 실시예는 단지 본 개시를 형성하고 사용하기 위한 특정 방식을 예시한 것뿐이며 본 개시의 범위를 한정하지 않는다.
또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 단순하고 명확하게 하기 위한 목적이고, 설명되는 다양한 실시예 및/또는 구성 간의 관계를 그 자체가 지시하는 것은 아니다. 또한, 이어지는 다음의 본 개시에서 다른 특징부 상에, 다른 특징부에 접속 및/또는 연결되는 한 특징부의 형성은, 특징부들이 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 특징부들이 직접 접촉하지 않도록 특징부들 중간에 추가의 특징부가 형성될 수 있는 실시예도 또한 포함할 수 있다. 또한, 공간적으로 상대적인 용어, 예를 들어 "하단", "상단", "수평","수직", "위", "위에", "아래", "아래에", "위로", "아래로", "상부", "하부" 등 뿐만 아니라 이의 변형어(예를 들어, "수평으로", "아래방향으로", "윗방향으로" 등)는 한 특징부의 다른 특징부에 대한 관계에 대해 본 개시를 용이하게 하고자 사용된다. 공간적으로 상대적인 용어는 특징부를 포함한 디바이스의 상이한 배향을 커버하도록 의도된다.
도 1은 일부 실시예에 따른 예시적인 FinFET(100)의 개략도이다. FinFET(100)은 기판(101), 기판 상에 형성된 핀 구조(102), 및 핀 구조(102) 내에 형성된 소스(103) 및 드레인(105), 소스(103)와 드레인(105) 사이의 핀 구조(102) 내의 채널(111)을 포함한다. 채널 위에 게이트 유전체 층(109)이 형성되고, 게이트 유전체 층(109) 위에 게이트(108)가 형성된다. 소스(103) 및 드레인(105) 중 적어도 하나는 하부 SiGe 층(106)을 포함한다. 스페이서(110)가 게이트(108)에 인접하게 형성된다. 일부 실시예에서, FinFET(100)는 인접한 디바이스로부터 쉘로우 트렌치 아이솔레이션(STI; shallow trench isolation) 구조(예를 들어, SiO2, 도시되지 않음)에 의해 격리될 수 있다.
일부 실시예에서, FinFET(100)는 N 타입 FinFET이다. 기판은 Si 또는 임의의 기타 적합한 재료를 포함한다. 소스(103) 및 드레인(105)은 SiP, SiCP, 또는 임의의 기타 적합한 재료를 포함하는 제1 층(104)을 포함한다. 제1 층(104)은 하부 SiGe 층(106) 위에 배치된다. 일부 실시예에서, 하부 SiGe 층(106)은 SiP 또는 SiCP를 포함하는 제1 층(104)의 하부에 형성된 에피텍셜 층이다. 스페이서(110)는 SiN, SiCN, SiCON, 기타 유전체 또는 임의의 기타 적합한 재료를 포함한다.
일부 실시예에서, 제1 층(104)(SiP 또는 SiCP)에 대한 하부 SiGe 층(106) 내의 SiGe의 부피 비는 10 % 내지 40 % 범위이다. 일부 실시예에서, 제1 층(104) 내의 인(P) 농도는 5e20 cm-3 내지 1e22 cm-3 범위이다. 일부 실시예에서, 제1 층(104)은 SiCP를 포함하고, 탄소 도핑 비율은 0.5 % 내지 2 % 범위이다.
일부 실시예에서, 핀 구조(102)의 높이 X, 소스(103) 또는 드레인(105)의 높이 Y, 및 하부 SiGe 층(106)의 높이 Z는 Z ≤ Y - X의 관계식을 갖는다. 일부 예에서, X는 30 nm 내지 40 nm 범위이고, Y는 45 nm 내지 60 nm 범위이고, Z는 5 nm 내지 15 nm 범위이고, 게이트(108) 길이 L은 15 nm 내지 30 nm 범위이다. 일부 실시예에서 소스(103) 및 드레인(105)의 상부는 핀 구조(102)보다 5 nm - 20 nm 더 높을 수 있다. 일부 실시예에서 소스(103) 및 드레인(105)의 하부는 기판(101) 상에 형성된 리세스에서 핀 구조(102) 아래일 수 있다. FinFET(100)의 치수는 디바이스 설계 및 응용에 따라 달라질 수 있다.
도 2는 일부 실시예에 따른 도 1의 예시적인 FinFET(100)에 대해 채널 변형(strain) 대 Fin 상부로부터의 거리의 그래프이다. 채널(111)은 Si를 포함하고, 하부 SiGe 층(106)은 양의 변형 값으로 도 2에 도시된 바와 같이 채널의 인접한 영역(핀 구조(102)의 상부로부터 약 30 nm - 40 nm)에 압축 응력을 가한다. 하부 SiGe 층(106)은 음의 변형 값으로 도 2에 도시된 바와 같이 채널(111)의 상부 영역(핀 구조(102)의 상부로부터 0 nm - 20 nm)에 인장 응력을 유도한다. 유도된 인장 응력의 결과로서 채널(111)의 상부 영역에서는 더 나은 모빌리티 이득이 얻어진다.
도 3a는 일부 실시예에 따른 도 1에서의 예시적인 FinFET(100)의 구동 전류 대 게이트 길이의 그래프이다. FinFET(100)의 곡선(302)은 더 나은 모빌리티 이득의 결과로서 다양한 게이트(108) 길이(L)에 대해 일부 다른 FinFET의 곡선(304)에 비교하여 개선된 구동 전류(Idsat) 성능을 보여준다.
도 3b는 일부 실시예에 따른 도 1에서의 예시적인 FinFET(100)의 총 저항 대 게이트 길이의 그래프이다. 총 저항(Rtot)은 채널 저항과 컨택 저항의 합이다. FinFET(100)의 곡선(306)은 다양한 게이트(108) 길이(L)에 대해 일부 다른 FinFET의 곡선(308)에 비교하여 감소된 총 저항(Rtot)을 보여준다.
따라서, FinFET(100)은 일부 실시예에서 N 타입 디바이스에 대한 인의 높은 도핑 농도를 갖는 동시에 단채널 효과를 극복하는 개선된 성능을 보여준다. 제1 층(104)의 인(P) 농도는 일부 실시예에서 5e20 cm-3 내지 1e22 cm-3 범위이다. 일부 실시예에서, 제1 층(104)의 인(P) 농도는 1e21 cm-3 내지 4e21 cm-3 범위이다.
도 4는 일부 실시예에 따른 다른 예시적인 FinFET(400)의 개략도이다. FinFET(400)은 도 1의 FinFET(100)과 유사하고, 소스(103a)와 드레인(105a) 중 적어도 하나는 SiGe 층(106a)을 포함한다. FinFET(400)의 소스(103a)/드레인(105a)은 SiGe 층(106a)을 형성하도록 하부 SiGe 층에 더하여 측벽 SiGe 층을 포함한다. 일부 실시예에서, 에피텍셜 SiGe 층(106a)은 SiP 또는 SiCP를 포함하는 제1 층(104a)의 하부 및 측벽에 형성된다.
도 5는 일부 실시예에 따른 또 다른 예시적인 FinFET의 개략도이다. FinFET(500)은 도 4의 FinFET(400)와 유사하고, 소스(103b)와 드레인(105b) 중 적어도 하나는 SiGe 층(106a)을 포함한다. FinFET(500)의 소스(103b)/드레인(105b)은 SiGe 층(106a)을 형성하도록 하부 SiGe 층에 더하여 측벽 SiGe 층을 포함한다.
또한, FinFET(500)의 소스(103b)/드레인(105b)은 제1 층(104a) 위에 제2 층(104b)을 더 포함한다. 제2 층(104b)은 제1 층(104a)보다 더 높은 도펀트 농도를 갖는다. 일부 실시예에서, 제1 층(104a) 및 제2 층(104b)은 SiP 또는 SiCP를 포함하고, 제1 층(104a)은 5e20 cm-3 내지 2e21 cm-3 범위의 인 농도를 가지며, 제2 층(104b)은 1e21 cm-3 내지 1e22 cm-3 범위의 인 농도를 갖는다.
일부 예에서, 제1 층(104a)은 7e20 cm-3 내지 1e21 cm-3 범위의 인 농도를 가지며, 제2 층(104b)은 1e21 cm-3 내지 4e21 cm-3 범위의 인 농도를 갖는다. 일부 실시예에서, 제1 층(104a) 및 제2 층(104b)은 SiCP를 포함하고, 탄소 도핑 비율은 0.5 % 내지 2 % 범위이다.
도 6a 내지 도 6e는 일부 실시예에 따른 도 4의 예시적인 FinFET을 제조하는 중간 단계들이다. 도 6a에서, 예를 들어 건식 에칭 및 화학적 기상 증착(CVD)에 의해 핀 구조(102) 및 쉘로우 트렌치 아이솔레이션 구조(602)가 기판(101) 상에 형성된다. (기판(101)은 단순화를 위해 후속 단계에서 도시되지 않음) 일부 실시예에서, 기판(101)은 Si를 포함하고 STI는 SiO2를 포함한다.
도 6b에서, STI는 예를 들어 핀 구조(102)를 형성하도록 염화 수소를 사용한 습식 에칭에 의해 에칭된다.
도 6c에서, 게이트 유전체 층(109) 및 게이트(108)가 형성된다. 예를 들어, SiO2 또는 임의의 기타 적합한 재료와 같은 게이트 유전체 층(109)이 고온 CVD에 의해 형성될 수 있다. 폴리실리콘 또는 금속과 같은 게이트(108)는 CVD 또는 원자층(AL) CVD에 의해 형성될 수 있다.
도 6d에서, 게이트(108)에 인접한 스페이서(110)(예를 들어, SiN)가 ALCVD 또는 고온 CVD를 사용하여 SiN를 증착함으로써 형성되고, 핀 구조(102) 내의(그리고 기판(101) 내의) 리세스(604)가 예를 들어 플라즈마 에칭에 의해 에칭된다.
도 6e에서, 소스(103a) 및 드레인(105a)이 형성된다. 예를 들어, SiGe 층(106a)(하부 SiGe 및 측벽 SiGe를 포함함)이 CVD에 의해 증착된다. 그 다음, 제1 층(104a)(예를 들어, SiP)이 CVD에 의해 증착된다.
도 4의 FinFET(400)이 도 6a 내지 도 6e에서 예시적인 제조 단계에 대해 도시되었지만, 도 1의 FinFET(100) 및 도 5의 FinFET(500)도 마찬가지의 단계에서 제조될 수 있다.
일부 실시예에 따르면, FinFET은 기판, 기판 상의 핀 구조, 핀 구조 내의 소스, 핀 구조 내의 드레인, 소스와 드레인 사이의 핀 구조 내의 채널, 채널 위의 게이트 유전체 층, 및 게이트 유전체 층 위의 게이트를 포함한다. 소스와 드레인 중 적어도 하나는 하부 SiGe 층을 포함한다.
일부 실시예에 따르면, FinFET을 형성하는 방법은 기판 상에 핀 구조를 형성하는 것을 포함한다. 소스 및 드레인이 형성되고, 소스와 드레인 중 적어도 하나는 하부 SiGe 층을 포함한다. 게이트 유전체 층이 소스와 드레인 사이의 채널 위에 형성된다. 게이트가 게이트 유전체 층 위에 형성된다.
당해 기술 분야에서의 숙련자라면 본 개시의 많은 실시예 변형이 있을 수 있다는 것을 알 것이다. 실시예 및 이의 특징이 상세하게 기재되었지만, 실시예의 사상 및 범위에서 벗어나지 않고서 다양한 변경, 치환 및 대안이 여기에 행해질 수 있다는 것을 이해하여야 한다. 또한, 본 출원의 범위는 명세서에 기재된 프로세스, 기계, 제조, 및 물질 조성물, 수단, 방법 및 단계의 특정 실시예에 한정되고자 하는 것이 아니다. 당해 기술 분야에서의 통상의 지식을 가진 자라면, 여기에 기재된 대응하는 실시예와 실질적으로 동일한 기능을 수행하거나 실질적으로 동일한 결과를 달성하는, 현재 존재하거나 나중에 개발될, 프로세스, 기계, 제조, 물질 조성물, 수단, 방법 또는 단계가 본 개시에 따라 이용될 수 있다는 것을 개시된 실시예로부터 용이하게 알 수 있을 것이다.
상기 방법 실시예는 예시적인 단계들을 보여주지만, 이들이 보여준 순서대로 반드시 수행되어야 하는 것은 아니다. 단계들은 본 개시의 실시예의 사상 및 범위에 따라, 적합하게 추가되거나, 교체되거나, 순서가 바뀌거나 그리고/또는 삭제될 수 있다. 상이한 청구항 및/또는 상이한 실시예를 조합한 실시예가 본 개시의 범위 내에 속하고, 본 개시를 검토한 후에 당해 기술 분야에서의 숙련자에게 명백할 것이다.
100: FinFET 101: 기판
102: 핀 구조 103: 소스
105: 드레인 106: 하부 SiGe 층
108: 게이트 109: 게이트 유전체 층
111: 채널

Claims (10)

  1. FinFET에 있어서,
    기판;
    상기 기판 상의 핀 구조;
    상기 핀 구조 내의 소스;
    상기 핀 구조 내의 드레인;
    상기 소스와 상기 드레인 사이의 상기 핀 구조 내의 채널;
    상기 채널 위의 게이트 유전체 층; 및
    상기 게이트 유전체 층 위의 게이트를 포함하고,
    상기 소스와 상기 드레인 중 적어도 하나는 하부 SiGe 층을 포함하는 것인 FinFET.
  2. 청구항 1에 있어서, 상기 소스 또는 상기 드레인 중 적어도 하나에 측벽 SiGe 층을 더 포함하는 FinFET.
  3. 청구항 1에 있어서, 상기 소스 및 상기 드레인은 SiP 또는 SiCP를 포함하는 제1 층을 포함하고, 상기 제1 층은 상기 하부 SiGe 층 위에 배치되는 것인 FinFET.
  4. 청구항 3에 있어서, SiP에 대한 SiGe 또는 SiCP에 대한 SiGe의 부피 비는 10 % 내지 40 % 범위인 것인 FinFET.
  5. 청구항 3에 있어서, 상기 제1 층의 인 농도는 5e20 cm-3 내지 1e22 cm-3 범위인 것인 FinFET.
  6. 청구항 3에 있어서, 상기 소스 및 상기 드레인은 SiP 또는 SiCP를 포함하는 제2 층을 더 포함하고, 상기 제2 층은 상기 제1 층 위에 배치되며, 상기 제2 층은 상기 제1 층보다 더 높은 인 농도를 갖는 것인 FinFET.
  7. 청구항 6에 있어서, 상기 제1 층은 5e20 cm-3 내지 2e21 cm-3 범위의 인 농도를 갖고, 상기 제2 층은 1e21 cm-3 내지 1e22 cm-3 범위의 인 농도를 갖는 것인 FinFET.
  8. 청구항 1에 있어서, 상기 핀 구조의 높이 X, 상기 소스 또는 상기 드레인의 높이 Y, 및 상기 하부 SiGe 층의 높이 Z는 Z ≤ Y - X의 관계식을 갖는 것인 FinFET.
  9. FinFET을 형성하는 방법에 있어서,
    기판 상에 핀 구조를 형성하는 단게;
    소스 및 드레인을 형성하는 단계 - 상기 소스와 상기 드레인 중 적어도 하나는 하부 SiGe 층을 가짐 - ;
    상기 소스와 상기 드레인 사이의 채널 위에 게이트 유전체 층을 형성하는 단계; 및
    상기 게이트 유전체 층 위에 게이트를 형성하는 단계를 포함하는 FinFET의 형성 방법.
  10. FinFET에 있어서,
    기판;
    상기 기판 상의 핀 구조;
    상기 핀 구조 내의 소스;
    상기 핀 구조 내의 드레인;
    상기 소스와 상기 드레인 사이의 상기 핀 구조 내의 채널;
    상기 채널 위의 게이트 유전체 층; 및
    상기 게이트 유전체 층 위의 게이트를 포함하고,
    상기 소스와 상기 드레인 중 적어도 하나는 SiP 또는 SiCP를 포함하는 상부 층, 하부 SiGe 층, 및 측벽 SiGe 층을 포함하는 것인 FinFET.
KR20130058486A 2013-03-13 2013-05-23 소스/드레인에 하부 SiGe 층을 갖는 FinFET KR101492719B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/800,817 2013-03-13
US13/800,817 US8963258B2 (en) 2013-03-13 2013-03-13 FinFET with bottom SiGe layer in source/drain

Publications (2)

Publication Number Publication Date
KR20140112347A true KR20140112347A (ko) 2014-09-23
KR101492719B1 KR101492719B1 (ko) 2015-02-11

Family

ID=51418640

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20130058486A KR101492719B1 (ko) 2013-03-13 2013-05-23 소스/드레인에 하부 SiGe 층을 갖는 FinFET

Country Status (4)

Country Link
US (3) US8963258B2 (ko)
KR (1) KR101492719B1 (ko)
CN (1) CN104051525B (ko)
DE (1) DE102013105735B4 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105810736A (zh) * 2015-01-15 2016-07-27 台湾积体电路制造股份有限公司 包括鳍结构的半导体器件及其制造方法
KR20160116598A (ko) * 2015-03-30 2016-10-10 삼성전자주식회사 반도체 소자

Families Citing this family (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US9553012B2 (en) 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9818744B2 (en) 2014-09-04 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Leakage current suppression methods and related structures
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9349652B1 (en) 2014-12-12 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device with different threshold voltages
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9768301B2 (en) 2014-12-23 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US10134871B2 (en) 2014-12-23 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of high-K dielectric oxide by wet chemical treatment
US10141310B2 (en) 2014-12-23 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9425250B2 (en) 2014-12-30 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor with wurtzite channel
US9647090B2 (en) 2014-12-30 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Surface passivation for germanium-based semiconductor structure
KR102287398B1 (ko) 2015-01-14 2021-08-06 삼성전자주식회사 반도체 장치
US9601626B2 (en) 2015-01-23 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structure with two channel layers and manufacturing method thereof
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9443729B1 (en) 2015-03-31 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming FinFET devices
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9680014B2 (en) 2015-04-17 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin structures and manufacturing method thereof
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
US9461110B1 (en) 2015-04-30 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9773786B2 (en) 2015-04-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US10269968B2 (en) 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9425313B1 (en) 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9953881B2 (en) 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
US9768145B2 (en) 2015-08-31 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming multi-die package structures including redistribution layers
US9472620B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9397215B1 (en) * 2015-09-04 2016-07-19 International Business Machines Corporation FinFET with reduced source and drain resistance
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
KR102374321B1 (ko) * 2015-10-14 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9786614B2 (en) 2015-11-16 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure and method of forming
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
KR102480447B1 (ko) 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9564317B1 (en) 2015-12-02 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a nanowire
US9716146B2 (en) 2015-12-15 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method with solid phase diffusion
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9660033B1 (en) 2016-01-13 2017-05-23 Taiwan Semiconductor Manufactuing Company, Ltd. Multi-gate device and method of fabrication thereof
US9876098B2 (en) 2016-01-15 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a gate spacer
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US10453925B2 (en) 2016-01-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth methods and structures thereof
US10141426B2 (en) * 2016-02-08 2018-11-27 International Business Macahines Corporation Vertical transistor device
US10796924B2 (en) * 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US10276715B2 (en) * 2016-02-25 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US10340383B2 (en) * 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US10164061B2 (en) 2016-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating non-volatile memory device array
US10734522B2 (en) 2016-06-15 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stacks
US9716165B1 (en) 2016-06-21 2017-07-25 United Microelectronics Corporation Field-effect transistor and method of making the same
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US9620628B1 (en) 2016-07-07 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact feature
US10269938B2 (en) 2016-07-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a doped passivation layer
US10217741B2 (en) 2016-08-03 2019-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure and method of forming same through two-step etching processes
US9853150B1 (en) 2016-08-15 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating epitaxial gate dielectrics and semiconductor device of the same
US10840350B2 (en) 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanolaminate structure, semiconductor device and method of forming nanolaminate structure
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
KR102575366B1 (ko) 2016-11-09 2023-09-05 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11152362B2 (en) 2016-11-10 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US10879240B2 (en) 2016-11-18 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US9847334B1 (en) 2016-11-18 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with channel layer
US10134870B2 (en) 2016-11-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US10164066B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10062782B2 (en) 2016-11-29 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with multilayered channel structure
US9953875B1 (en) * 2016-11-30 2018-04-24 Taiwan Semiconductor Manufacturing Company Contact resistance control in epitaxial structures of finFET
US11011634B2 (en) 2016-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated source/drain region structure in finFET device
US10707328B2 (en) 2016-11-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming epitaxial fin structures of finFET
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US9899273B1 (en) 2016-12-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with dopants diffuse protection and method for forming the same
US10002796B1 (en) 2016-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial growth process for semiconductor device
KR20180081202A (ko) * 2017-01-05 2018-07-16 삼성전자주식회사 반도체 소자
US10249542B2 (en) 2017-01-12 2019-04-02 International Business Machines Corporation Self-aligned doping in source/drain regions for low contact resistance
US10163731B2 (en) 2017-04-12 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor structure having hybrid substrate and method of fabricating the same
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10050149B1 (en) 2017-05-18 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure for semiconductor device
US10636910B2 (en) 2017-05-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method of forming the same
US10163628B1 (en) 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Lattice-mismatched semiconductor substrates with defect reduction
US9991262B1 (en) 2017-06-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device on hybrid substrate and method of manufacturing the same
US10141430B1 (en) 2017-07-27 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structures with uniform threshold voltage distribution and method of making the same
US10515952B2 (en) 2017-08-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10833152B2 (en) 2017-08-15 2020-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10504898B2 (en) 2017-08-28 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor structure and method for forming the same
US10276718B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a relaxation prevention anchor
US10497577B2 (en) 2017-08-31 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10522680B2 (en) 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
US10276445B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manfacturing Co., Ltd. Leakage reduction methods and structures thereof
US10468275B2 (en) 2017-09-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fabrication with electrochemical apparatus
US10763114B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating gate oxide of semiconductor device
US10283639B2 (en) 2017-09-28 2019-05-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US10516032B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US10804367B2 (en) 2017-09-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stacks for stack-fin channel I/O devices and nanowire channel core devices
US10535737B2 (en) 2017-10-27 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522418B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US11444173B2 (en) 2017-10-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with salicide layer and method for forming the same
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10276693B1 (en) 2017-10-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10629497B2 (en) 2017-11-02 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device structure and method for enlarging gap-fill window
US10707318B2 (en) 2017-11-15 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10680106B2 (en) 2017-11-15 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain epitaxial stacks
US10867859B2 (en) 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
US10269648B1 (en) 2017-11-17 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a semiconductor device structure
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10276449B1 (en) 2017-11-24 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FinFET) device structure
US10340190B2 (en) 2017-11-24 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10361279B2 (en) 2017-11-24 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing FinFET structure with doped region
US10504899B2 (en) 2017-11-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with various threshold voltages and method for manufacturing the same
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10658225B2 (en) 2018-01-19 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US10468409B2 (en) 2018-03-14 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with oxidation-resist STI liner structure
KR102543178B1 (ko) 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
US11056392B2 (en) 2018-03-29 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having gate stacks with protruding parts and method of forming the same
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10529414B2 (en) 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell having SiGe PMOS fin lines
US10665697B2 (en) 2018-06-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10522662B1 (en) 2018-06-22 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with T-shaped fin and method for forming the same
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US11355339B2 (en) 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers
US10998310B2 (en) 2018-07-09 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Fins with wide base in a FINFET
US11205700B2 (en) 2018-07-16 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap spacer and related methods
US11276695B2 (en) 2018-07-16 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11271111B2 (en) 2018-07-26 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure with barrier in FinFET device and method for forming the same
US10672879B2 (en) 2018-07-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming FinFET and gate-all-around FET with selective high-K oxide deposition
US10535667B1 (en) 2018-07-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array and semiconductor chip
US11158644B2 (en) 2018-07-31 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with field effect transistors of differing gate dielectric thickness on the same substrate and method of manufacturing the same
US10679995B2 (en) 2018-07-31 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11049775B2 (en) 2018-07-31 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having FinFET with work function layers and method of manufacturing the same
US11158727B2 (en) 2018-07-31 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for gate-all-around device with extended channel
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US11211293B2 (en) 2018-07-31 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming the same
US11069692B2 (en) 2018-07-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with dielectric fins
US10741558B2 (en) 2018-08-14 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet CMOS device and method of forming
US11037837B2 (en) 2018-08-15 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain and methods of forming same
US10714395B2 (en) 2018-09-18 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fin isolation structure for FinFET and method of forming the same
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11437385B2 (en) 2018-09-24 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with reduced fin pitch
US11217585B2 (en) 2018-09-25 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Forming dielectric dummy fins with different heights in different regions of a semiconductor device
US11349008B2 (en) 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US11450571B2 (en) 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10804162B2 (en) 2018-09-27 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual channel gate all around transistor device and fabrication methods thereof
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US10790184B2 (en) 2018-09-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation with multi-step structure for FinFET device and method of forming the same
US11088262B2 (en) 2018-09-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Radical etching in gate formation
US10763863B2 (en) 2018-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device for logic and memory co-optimization
US11289583B2 (en) 2018-09-28 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gate structure formation
US11094597B2 (en) 2018-09-28 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
DE102019117897B4 (de) 2018-09-28 2024-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung zur logik- und speicher-co-optimierung sowie schaltung
US11121036B2 (en) 2018-10-16 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11107904B2 (en) 2018-10-23 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer formation in multi-gate transistors
US11133222B2 (en) 2018-10-26 2021-09-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10847426B2 (en) 2018-10-28 2020-11-24 Taiwan Semicondutor Manufacturing Company, Ltd. FinFET devices and methods of forming the same
US10811255B2 (en) 2018-10-30 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices
US10916550B2 (en) 2018-10-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Memory devices with gate all around transistors
US11088281B2 (en) * 2018-10-31 2021-08-10 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of manufacture
US11031291B2 (en) 2018-11-28 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US11973143B2 (en) * 2019-03-28 2024-04-30 Intel Corporation Source or drain structures for germanium N-channel devices
US11239339B2 (en) 2019-04-29 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11088255B2 (en) 2019-05-17 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
KR20200136688A (ko) * 2019-05-28 2020-12-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN112018113A (zh) 2019-05-29 2020-12-01 台湾积体电路制造股份有限公司 半导体装置及其形成方法
US11430892B2 (en) 2019-05-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacers for gate-all-around transistors
US10971402B2 (en) 2019-06-17 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including interface layer and method of fabricating thereof
US11728344B2 (en) 2019-06-28 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid SRAM design with nano-structures
US11411112B2 (en) 2019-07-31 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, method of forming the same, and semiconductor device having the same
US11075120B2 (en) * 2019-08-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method
US11245029B2 (en) 2019-08-22 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11515199B2 (en) 2019-08-26 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures including standard cells and tap cells
US11133386B2 (en) 2019-08-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer fin structure
US11315925B2 (en) 2019-08-28 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Uniform gate width for nanostructure devices
US11282942B2 (en) 2019-08-30 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with uniform threshold voltage distribution and method of forming the same
US11545573B2 (en) 2019-09-10 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid nanostructure and fin structure device
US11342231B2 (en) 2019-09-17 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device with low threshold voltage
US11177344B2 (en) 2019-09-25 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device with air gap spacer and fabrication methods thereof
US11322409B2 (en) 2019-09-26 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices and method of fabricating the same
US11621224B2 (en) 2019-09-26 2023-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Contact features and methods of fabricating the same in semiconductor devices
US11469238B2 (en) 2019-09-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Non-interleaving N-well and P-well pickup region design for IC devices
US11205650B2 (en) 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Input/output semiconductor devices
US11282748B2 (en) 2019-09-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11482610B2 (en) 2019-09-26 2022-10-25 Taiwan Semiconductor Manufacturing Co. Method of forming a gate structure
US11205711B2 (en) 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Selective inner spacer implementations
US11443980B2 (en) 2019-09-27 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with metal pad extending into top metal layer
US11031292B2 (en) 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11374104B2 (en) 2019-09-30 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing capacitance in field-effect transistors
US10937704B1 (en) 2019-10-01 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mixed workfunction metal for nanosheet device
US11145650B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate cut dielectric feature and method of forming the same
US11322495B2 (en) 2019-10-28 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11075195B2 (en) 2019-12-26 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated hybrid standard cell structure with gate-all-around device
US11380548B2 (en) 2019-12-30 2022-07-05 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacturing semiconductor structure through multi-implantation to fin structures
US11302692B2 (en) 2020-01-16 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same
US11244899B2 (en) 2020-01-17 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Butted contacts and methods of fabricating the same in semiconductor devices
US11563110B2 (en) 2020-01-30 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US11610822B2 (en) 2020-01-31 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structures for tuning threshold voltage
US11328963B2 (en) 2020-02-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
CN113130483A (zh) 2020-02-27 2021-07-16 台湾积体电路制造股份有限公司 半导体结构
US11799019B2 (en) 2020-02-27 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation feature and manufacturing method thereof
US11195937B2 (en) 2020-03-31 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate transistor structure
DE102020119940A1 (de) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrfachgatetransistorstruktur
US12022643B2 (en) 2020-03-31 2024-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer high-k gate dielectric structure
US11289584B2 (en) 2020-04-24 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer features for multi-gate transistors
US11955482B2 (en) * 2020-05-18 2024-04-09 Intel Corporation Source or drain structures with high phosphorous dopant concentration
US11527527B2 (en) 2020-05-21 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Tap cell, integrated circuit structure and forming method thereof
US11302580B2 (en) 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet thickness
US11637099B2 (en) 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Forming ESD devices using multi-gate compatible processes
US11315924B2 (en) 2020-06-30 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure for preventing unintentional merging of epitaxially grown source/drain
US11296082B2 (en) 2020-07-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11791401B2 (en) 2020-07-30 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11532718B2 (en) 2020-07-30 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having a gate dielectric comprising a multi-layer structure including an oxide layer with different thicknesses on side and top surfaces of the fins
US11348921B2 (en) 2020-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
KR20220022780A (ko) 2020-08-19 2022-02-28 삼성전자주식회사 반도체 소자
US11349002B2 (en) 2020-09-25 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof
US11521971B2 (en) 2020-11-13 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gate dielectric having a non-uniform thickness profile
US11784218B2 (en) 2021-01-08 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gate air spacer protection during source/drain via hole etching
US11728394B2 (en) 2021-01-27 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming backside power rails
US11626495B2 (en) 2021-02-26 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Protective liner for source/drain contact to prevent electrical bridging while minimizing resistance
US11615987B2 (en) 2021-03-26 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Backside via with a low-k spacer
US11996468B2 (en) 2021-04-16 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device fabrication and structures thereof
US11575047B2 (en) 2021-05-12 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device active region profile and method of forming the same
US11973128B2 (en) 2021-05-27 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming multi-gate transistors
US12009208B2 (en) 2021-06-07 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition equipment with adjustable temperature source
US11916151B2 (en) 2021-06-25 2024-02-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having fin with all around gate
US11670590B2 (en) 2021-08-12 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Chip structure with etch stop layer and method for forming the same
US11894276B2 (en) 2021-08-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having various gate oxide thicknesses and methods of forming the same
US12002863B2 (en) 2021-08-31 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with air-gap spacers

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
KR100555518B1 (ko) * 2003-09-16 2006-03-03 삼성전자주식회사 이중 게이트 전계 효과 트랜지스터 및 그 제조방법
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7157343B2 (en) * 2004-04-07 2007-01-02 United Microelectronics Corp. Method for fabricating semiconductor device
KR100612415B1 (ko) * 2004-04-09 2006-08-16 삼성전자주식회사 올 어라운드된 채널 영역을 갖는 트랜지스터 및 그 제조방법
US7465986B2 (en) * 2004-08-27 2008-12-16 International Rectifier Corporation Power semiconductor device including insulated source electrodes inside trenches
US7018901B1 (en) * 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
JP2006261235A (ja) * 2005-03-15 2006-09-28 Toshiba Corp 半導体装置
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
JP4635897B2 (ja) 2006-02-15 2011-02-23 株式会社東芝 半導体装置及びその製造方法
US7517764B2 (en) * 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
US7968952B2 (en) * 2006-12-29 2011-06-28 Intel Corporation Stressed barrier plug slot contact structure for transistor performance enhancement
EP2147461A1 (en) * 2007-04-19 2010-01-27 Nxp B.V. Nonvolatile memory cell comprising a nanowire and manufacturing method thereof
KR101263648B1 (ko) * 2007-08-31 2013-05-21 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조 방법.
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US8148780B2 (en) * 2009-03-24 2012-04-03 Micron Technology, Inc. Devices and systems relating to a memory cell having a floating body
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8497528B2 (en) * 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
JP5443502B2 (ja) * 2009-09-18 2014-03-19 株式会社東芝 半導体装置およびその製造方法
TWI451552B (zh) 2009-11-10 2014-09-01 Taiwan Semiconductor Mfg 積體電路結構
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US20120068268A1 (en) * 2010-09-22 2012-03-22 Hsiao Tsai-Fu Transistor structure and method of fabricating the same
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US20120228628A1 (en) * 2011-03-07 2012-09-13 Toshiba America Electronic Components, Inc. Semiconductor device and method of fabricating the same
US8772860B2 (en) * 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US8618556B2 (en) 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8927966B2 (en) * 2012-05-22 2015-01-06 Tsinghua University Dynamic random access memory unit and method for fabricating the same
JP2014011230A (ja) * 2012-06-28 2014-01-20 Toshiba Corp 半導体記憶装置およびその製造方法
JP5426732B2 (ja) 2012-07-10 2014-02-26 株式会社東芝 電界効果トランジスタ
US8729607B2 (en) * 2012-08-27 2014-05-20 Kabushiki Kaisha Toshiba Needle-shaped profile finFET device
US8710632B2 (en) * 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9142643B2 (en) * 2012-11-15 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial feature
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105810736A (zh) * 2015-01-15 2016-07-27 台湾积体电路制造股份有限公司 包括鳍结构的半导体器件及其制造方法
KR20170083991A (ko) * 2015-01-15 2017-07-19 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 핀 구조체를 포함하는 반도체 소자 및 그 제조 방법
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
CN105810736B (zh) * 2015-01-15 2020-04-10 台湾积体电路制造股份有限公司 包括鳍结构的半导体器件及其制造方法
US10937906B2 (en) 2015-01-15 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
KR20160116598A (ko) * 2015-03-30 2016-10-10 삼성전자주식회사 반도체 소자

Also Published As

Publication number Publication date
DE102013105735A1 (de) 2014-09-18
US9911829B2 (en) 2018-03-06
US20150137180A1 (en) 2015-05-21
KR101492719B1 (ko) 2015-02-11
US20160163836A1 (en) 2016-06-09
DE102013105735B4 (de) 2017-09-21
US20140264590A1 (en) 2014-09-18
CN104051525B (zh) 2016-12-28
US8963258B2 (en) 2015-02-24
US9293581B2 (en) 2016-03-22
CN104051525A (zh) 2014-09-17

Similar Documents

Publication Publication Date Title
KR101492719B1 (ko) 소스/드레인에 하부 SiGe 층을 갖는 FinFET
US10515856B2 (en) Method of making a FinFET, and FinFET formed by the method
KR101386858B1 (ko) 반도체 디바이스 및 이의 제조 방법
KR101390572B1 (ko) 높은 이동도 및 변형 채널을 갖는 FinFET
TWI545761B (zh) 半導體元件與其形成方法及p型金氧半電晶體
US9159552B2 (en) Method of forming a germanium-containing FinFET
CN109300789A (zh) 半导体结构及其形成方法
CN108807179A (zh) 半导体结构及其形成方法
US9000532B2 (en) Vertical PMOS field effect transistor and manufacturing method thereof
CN104217948B (zh) 半导体制造方法
US11264499B2 (en) Transistor devices with source/drain regions comprising an interface layer that comprises a non-semiconductor material
TWI485783B (zh) 具有封裝的壓力源區域的半導體裝置及製作方法
CN103295903A (zh) 围栅结构的鳍式半导体器件的制造方法
CN103426766B (zh) Pmos晶体管及其形成方法
CN102723331B (zh) 一种基于应变Si回型沟道工艺的应变BiCMOS集成器件及制备方法
CN102738158B (zh) 一种基于自对准工艺的应变Si BiCMOS集成器件及制备方法
CN103094108B (zh) 半导体器件的制作方法
CN102738157B (zh) 一种应变Si/应变SiGe-HBT BiCMOS集成器件及制备方法
CN102820307B (zh) 一种基于SOI衬底的双多晶平面应变BiCMOS集成器件及制备方法
CN102751331B (zh) 一种应变SiGe回型沟道NMOS集成器件及制备方法
CN102738172B (zh) 一种双多晶平面SOI BiCMOS集成器件及制备方法
CN102376753A (zh) 一种硅锗源/漏结构及其制造方法
CN104037224A (zh) 设计的用于n型MOSFET的源极/漏极区
JPWO2017187831A1 (ja) 半導体装置、cmos回路及び電子機器
CN102751281A (zh) 一种基于三多晶SiGe HBT的应变BiCMOS集成器件及制备方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180126

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190124

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200129

Year of fee payment: 6