CN104051525A - 源极/漏极中带有底部SiGe层的FinFET - Google Patents

源极/漏极中带有底部SiGe层的FinFET Download PDF

Info

Publication number
CN104051525A
CN104051525A CN201310241934.XA CN201310241934A CN104051525A CN 104051525 A CN104051525 A CN 104051525A CN 201310241934 A CN201310241934 A CN 201310241934A CN 104051525 A CN104051525 A CN 104051525A
Authority
CN
China
Prior art keywords
source electrode
drain electrode
fin structure
ground floor
finfet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310241934.XA
Other languages
English (en)
Other versions
CN104051525B (zh
Inventor
游明华
郑培仁
李资良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104051525A publication Critical patent/CN104051525A/zh
Application granted granted Critical
Publication of CN104051525B publication Critical patent/CN104051525B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

本发明涉及源极/漏极中带有底部SiGe层的FinFET,提供了一种FinFET包括:衬底;鳍结构,位于衬底上;源极,位于鳍结构中;漏极,位于鳍结构中;沟道,位于鳍结构中,在源极与漏极之间;栅极介电层,位于沟道上方;以及栅极,位于栅极介电层上方。源极和漏极中的至少一个包括底部SiGe层。

Description

源极/漏极中带有底部SiGe层的FinFET
技术领域
本发明总体上涉及半导体器件,更具体地,涉及一种FinFET。
背景技术
在一些FinFET器件中,随着器件尺寸的减小,弱驱动电流和短沟道效应是具有挑战的问题。具有改进的驱动电流并减弱短沟道效应的FinFET是人们的期望。
发明内容
为解决上述问题,本发明提供了一种FinFET,包括:衬底;鳍结构,位于所述衬底上;源极,位于所述鳍结构中;漏极,位于所述鳍结构中;沟道,在所述鳍结构中位于所述源极与所述漏极之间;栅极介电层,位于所述沟道上方;以及栅极,位于所述栅极介电层上方,其中,所述源极和所述漏极中的至少一个包括底部SiGe层。
该FinFET进一步包括侧壁SiGe层,位于所述源极和所述漏极中的至少一个中。
其中,所述源极和所述漏极包括具有SiP或SiCP的第一层,所述第一层布置在所述底部SiGe层上方。
其中,SiGe与SiP的体积比或SiGe与SiCP的体积比的范围在10%到40%之间。
其中,所述第一层的磷的浓度范围在5e20cm-3到1e22cm-3之间。
其中,所述第一层包括SiCP并且所述第一层的碳掺杂百分比范围在0.5%到2%之间。
其中,所述源极和所述漏极进一步包括具有SiP或SiCP的第二层,所述第二层沉积在所述第一层上方,并且所述第二层的磷的浓度比所述第一层的磷的浓度更高。
其中,所述第一层的磷的浓度范围在5e20cm-3到2e21cm-3之间。
其中,所述第二层的磷的浓度范围在1e21cm-3到1e22cm-3之间。
其中,所述鳍结构的高度X、所述源极或所述漏极的高度Y、和所述底部SiGe层的高度Z的关系是Z≤Y-X。
该FinFET进一步包括邻近所述栅极的隔离件。
其中,所述隔离件包括SiN、SiCN、或SiCON。
此外,还提供了一种形成FinFET的方法,包括:在衬底上形成鳍结构;形成源极和漏极,所述源极和所述漏极中的至少一个包括底部SiGe层;在所述源极和所述漏极之间的沟道上方形成栅极介电层;以及在所述栅极介电层上方形成栅极。
其中,形成所述源极和所述漏极包括在所述源极和所述漏极中的至少一个中形成侧壁SiGe层。
其中,形成所述源极和所述漏极包括形成具有SiP或SiCP的第一层,并且所述第一层布置在所述底部SiGe层上方。
其中,所述第一层的磷的浓度范围在5e20cm-3到1e22cm-3之间。
其中,形成所述源极和所述漏极进一步包括在所述第一层上方形成第二层,所述第二层包括SiP或SiCP,并且所述第二层的磷的浓度比所述第一层的磷的浓度更高。
其中,所述第一层的磷的浓度范围在5e20cm-3到2e21cm-3之间,所述第二层的磷的浓度范围在1e21cm-3到1e22cm-3之间。
该方法进一步包括形成邻近所述栅极的隔离件。
此外,还提供了一种FinFET,包括:衬底;鳍结构,位于所述衬底上;源极,位于所述鳍结构中;漏极,位于所述鳍结构中;沟道,在所述鳍结构中位于所述源极与所述漏极之间;栅极介电层,位于所述沟道上方;以及栅极,位于所述栅极介电层上方,其中,所述源极和所述漏极中的至少一个包括具有SiP或SiCP的顶层、底部SiGe层、和侧壁SiGe层。
附图说明
下面将结合附图进行下列说明,其中:
图1是根据一些实施例的示例性的FinFET的原理图;
图2是根据一些实施例的沟道应变(strain)与图1中示例性FinFET的鳍顶距离的曲线图;
图3A是根据一些实施例的驱动电流与图1中示例性FinFET的栅极长度的曲线图;
图3B是根据一些实施例的总电阻与图1中示例性FinFET的栅极长度的曲线图;
图4是根据一些实施例的另一个示例性FinFET的原理图;
图5是根据一些实施例的又一个示例性FinFET的原理图;以及
图6A至图6E是根据一些实施例的制造图4中示例性FinFET的中间步骤。
具体实施方式
各种实施例的制造和使用的细节讨论如下。应该理解,本发明提供了许多可以在各种具体环境中实现的可应用的创新概念。所讨论的具体实施例仅仅示出制造和使用本发明的具体方式,而不用于限制本公开的范围。
另外,本发明可在各个实例中重复参考标记和/或字母。该重复是为了简明和清楚,而且其本身没有规定所述各种实施例和/或结构之间的关系。而且,在本公开中一个部件上的结构、连接、和/或耦合另一个部件,可以包括直接形成连接部件的实施例,也可以包括在部件之间插入形成附加部件的实施例,这样两部件就不能直接连接。另外,在本公开中,空间连接词,例如,“低于”、“高于”、“水平”、“垂直”、“上方”、“以上”、“以下”、“在下方”、“上”、“下”、“顶端”、“底端:、等以上词的派生词(例如,“水平的”、“向下的”、“向上的”等)用于简便描述一个部件与另一个部件的关系。空间连接词意在涵盖包括部件的不同方向的器件。
图1是根据一些实施例的示例性的FinFET100的原理图。FinFET100包括衬底101、在衬底上形成的鳍结构102、在鳍结构102中形成的源极103和漏极105、在鳍结构102中源极103和漏极105间的沟道111。栅极介电层109在沟道上方形成,栅极108在栅极介电层109上方形成。源极103和漏极105中的至少一个包括底部SiGe层106。邻近栅极108形成隔离件110。在一些实施例中,FinFET100可以通过浅沟槽隔离(STI)结构与邻近的器件隔离开。
在一些实施例中,FinFET100是N型FinFET。衬底包括Si或任意其他合适的材料。源极103和漏极105包括具有SiP、SiCP、或任意其他合适材料的第一层104。第一层104沉积在底部SiGe层106上方。在一些实施例中,底部SiGe层106是在包括SiP或SiCP的第一层104底部形成的外延层。隔离件110包括SiN、SiCN、SiCON、其他电介质、或任意其他合适的材料。
在一些实施例中,底部SiGe层106中和第一层104(SiP或SiCP)中的SiGe的体积比的范围为10%到40%。在一些实施例中,第一层104中磷(P)的浓度范围在5e20cm-3到1e22cm-3之间。在一些实施例中,第一层104包括SiCP,并且碳掺杂的比例范围从0.5%到2%。
在一些实施例中,鳍结构102的高度X、源极103或漏极105的高度Y、和底部SiGe层106的高度Z的关系是Z≤Y-X。在一些实施例中,X的范围为30nm到40nm,Y的范围为45nm到60nm,Z的范围为5nm到15nm,以及栅极108的长度范围为15nm到30nm。在一些实施例中,源极103和漏极105的顶部可以比鳍结构102高5nm到20nm。在一些实施例中,源极103和漏极105的底部可以低于在衬底101上形成的凹槽中的鳍结构102。FinFET100的尺寸可以根据器件的设计和应用进行改变。
图2是根据一些实施例的沟道应变与图1中示例性FinFET100的鳍顶距离的曲线图。沟道111包括Si并且底部SiGe层106将压缩应力加载到沟道111的邻近区域(从鳍结构102顶部的大约30nm到40nm处)(图2中所示的正应变值)。底部SiGe层106将拉伸应力引导到沟道111的上部区域(从鳍结构102顶部的0nm到20nm处)(图2中所示的负应变值)。引导的拉伸应力可以使沟道111的上部区域具有更好的迁移率增益(mobility gain)。
图3A是根据一些实施例的驱动电流与图1中示例性FinFET100的栅极长度的曲线图。与一些其他FinFET的曲线304相比,针对各种栅极108的长度(L),FinFET100的曲线302显示出驱动电流(Idsat)性能得到了改进,从而获得了更好的迁移率收益。
图3B是根据一些实施例的总电阻与图1中示例性FinFET100的栅极长度的曲线图。总电阻(Rtot)是沟道电阻和接触电阻的和。与一些其他FinFET的曲线308相比,针对各种栅极108的长度(L),FinFET100的曲线306显示出总电阻(Rtot)有所减小。
因此,在一些实施例中,当N型器件掺杂高浓度的磷时,FinFET100表现出性能改善以克服短沟道效应。在一些实施例中,第一层104的磷(P)的浓度范围从5e20cm-3到1e22cm-3。在一些实施例中,第一层104的磷(P)的浓度范围从1e21cm-3到4e21cm-3
图4是根据一些实施例的另一个示例性FinFET400的原理图。FinFET400与图1中FinFET100相似,并且源极103a和漏极105a中的至少一个包括SiGe层106a。FinFET400的源极103a/漏极105a包括侧壁SiGe层及底部SiGe层,以形成SiGe层106a。在一些实施例中,外延SiGe层106a形成包括SiP或SiCP的第一层104a的侧壁和底部。
图5是根据一些实施例的又一个示例性FinFET的原理图。FinFET500与图4中的FinFET400相似,并且源极103b和漏极105b中的至少一个包括SiGe层106a。FinFET500的源极103b/漏极105b包括侧壁SiGe层及底部SiGe层,以形成SiGe层106a。
另外,FinFET500的源极103b/漏极105b进一步包括在第一层104a上方的第二层104b。第二层104b比第一层104a具有更高的掺杂浓度。在一些实施例中,第一层104a和第二层104b包括SiP或SiCP,并且第一层104a的磷的浓度范围为5e20cm-3到2e21cm-3,而第二层104b的磷的浓度范围为1e21cm-3到1e22cm-3
在一些实施例中,第一层104a的磷的浓度范围为7e20cm-3到1e21cm-3,而第二层104b的磷的浓度范围为1e21cm-3到4e21cm-3。在一些实施例中,第一层104a和第二层104b包括SiCP,并且碳掺杂的百分比范围为0.5%到2%。
图6A至图6E是根据一些实施例的制造图4中示例性FinFET的中间步骤。例如,在图6A中,鳍结构102和浅沟槽隔离结构602通过干式蚀刻和化学汽相沉积(CVD)在衬底101上形成。(为了简便,衬底101未在接下来的步骤中示出。)在一些实施例中,衬底101包括Si且STI包括SiO2
例如,在图6B中,STI通过使用氯化氢的湿式蚀刻来蚀刻STI,以形成鳍结构102。
在图6C中,形成栅极介电层109和栅极108。例如,诸如SiO2或任意其他合适材料的栅极介电层109可以通过高温CVD形成。诸如多晶硅或金属的栅极108可以通过CVD或原子层(AL)CVD形成。
例如,在图6D中,邻近栅极108的隔离件110通过使用ALCVD或高温CVD沉积SiN形成,并且通过等离子体蚀刻来蚀刻出鳍结构102中(和衬底101中)的凹槽604。
在图6E中,形成源极103a和漏极105a。例如,SiGe层106a(包括底部SiGe和侧壁SiGe)通过CVD沉积。然后第一层104a(例如SiP)通过CVD沉积。
尽管示出了在图6A至图6E中作为示例性制造步骤的图4中FinFET400,但图1中的FinFET100和图5中的FinFET500也可以通过相似的步骤制造。
根据一些实施例,一种FinFET包括:衬底;鳍结构,位于衬底上;源极,位于鳍结构中;漏极,位于鳍结构中;沟道,位于鳍结构中,在源极与漏极之间;栅极介电层,位于沟道上方;以及栅极,位于栅极介电层上方。源极和漏极中的至少一个包括底部SiGe层。
根据一些实施例,一种形成FinFET的方法包括:在衬底上形成鳍结构。形成源极和漏极,源极和漏极中的至少一个包括底部SiGe层。在源极与漏极之间的沟道上方形成栅极介电层。在栅极介电层上方形成栅极。
本领域中的技术人员应该理解,可以存在本发明的多个实施例的变型例。尽管已经详细地描述了实施例及其部件,但应该理解,可以在不背离本实施例的主旨和范围的情况下,做各种不同的改变,替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员应理解,通过本实施例,现有的或今后开发的用于执行与本文所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造,材料组分、装置、方法或步骤根据本发明可以被使用。
以上方法实施例示出了示例性步骤,但是不需要一定按照所示顺序实施。根据本发明的实施例的主旨和范围,可以适当地增加,替换,改变顺序和/或删除步骤。包括不同权利要求的实施例和/或不同实施例在本发明的范围内,并且本领域中的技术人员在审阅本发明以后,可以理解这些实施例和/或不同实施例。

Claims (10)

1.一种FinFET,包括:
衬底;
鳍结构,位于所述衬底上;
源极,位于所述鳍结构中;
漏极,位于所述鳍结构中;
沟道,在所述鳍结构中位于所述源极与所述漏极之间;
栅极介电层,位于所述沟道上方;以及
栅极,位于所述栅极介电层上方,
其中,所述源极和所述漏极中的至少一个包括底部SiGe层。
2.根据权利要求1所述的FinFET,进一步包括侧壁SiGe层,位于所述源极和所述漏极中的至少一个中。
3.根据权利要求1所述的FinFET,其中,所述源极和所述漏极包括具有SiP或SiCP的第一层,所述第一层布置在所述底部SiGe层上方。
4.根据权利要求3所述的FinFET,其中,SiGe与SiP的体积比或SiGe与SiCP的体积比的范围在10%到40%之间。
5.根据权利要求3所述的FinFET,其中,所述第一层的磷的浓度范围在5e20cm-3到1e22cm-3之间。
6.根据权利要求3所述的FinFET,其中,所述第一层包括SiCP并且所述第一层的碳掺杂百分比范围在0.5%到2%之间。
7.根据权利要求3所述的FinFET,其中,所述源极和所述漏极进一步包括具有SiP或SiCP的第二层,所述第二层沉积在所述第一层上方,并且所述第二层的磷的浓度比所述第一层的磷的浓度更高。
8.根据权利要求7所述的FinFET,其中,所述第一层的磷的浓度范围在5e20cm-3到2e21cm-3之间。
9.一种形成FinFET的方法,包括:
在衬底上形成鳍结构;
形成源极和漏极,所述源极和所述漏极中的至少一个包括底部SiGe层;
在所述源极和所述漏极之间的沟道上方形成栅极介电层;以及
在所述栅极介电层上方形成栅极。
10.一种FinFET,包括:
衬底;
鳍结构,位于所述衬底上;
源极,位于所述鳍结构中;
漏极,位于所述鳍结构中;
沟道,在所述鳍结构中位于所述源极与所述漏极之间;
栅极介电层,位于所述沟道上方;以及
栅极,位于所述栅极介电层上方,
其中,所述源极和所述漏极中的至少一个包括具有SiP或SiCP的顶层、底部SiGe层、和侧壁SiGe层。
CN201310241934.XA 2013-03-13 2013-06-18 源极/漏极中带有底部SiGe层的FinFET Active CN104051525B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/800,817 2013-03-13
US13/800,817 US8963258B2 (en) 2013-03-13 2013-03-13 FinFET with bottom SiGe layer in source/drain

Publications (2)

Publication Number Publication Date
CN104051525A true CN104051525A (zh) 2014-09-17
CN104051525B CN104051525B (zh) 2016-12-28

Family

ID=51418640

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310241934.XA Active CN104051525B (zh) 2013-03-13 2013-06-18 源极/漏极中带有底部SiGe层的FinFET

Country Status (4)

Country Link
US (3) US8963258B2 (zh)
KR (1) KR101492719B1 (zh)
CN (1) CN104051525B (zh)
DE (1) DE102013105735B4 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107123680A (zh) * 2016-02-25 2017-09-01 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN108281481A (zh) * 2017-01-05 2018-07-13 三星电子株式会社 半导体装置
CN112018179A (zh) * 2019-05-28 2020-12-01 三星电子株式会社 半导体器件及其制造方法

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US9553012B2 (en) 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9818744B2 (en) 2014-09-04 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Leakage current suppression methods and related structures
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9349652B1 (en) 2014-12-12 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device with different threshold voltages
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US10134871B2 (en) 2014-12-23 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of high-K dielectric oxide by wet chemical treatment
US10141310B2 (en) 2014-12-23 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US9768301B2 (en) 2014-12-23 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9647090B2 (en) 2014-12-30 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Surface passivation for germanium-based semiconductor structure
US9425250B2 (en) 2014-12-30 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor with wurtzite channel
KR102287398B1 (ko) 2015-01-14 2021-08-06 삼성전자주식회사 반도체 장치
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9601626B2 (en) 2015-01-23 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structure with two channel layers and manufacturing method thereof
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
KR102326112B1 (ko) 2015-03-30 2021-11-15 삼성전자주식회사 반도체 소자
US9443729B1 (en) 2015-03-31 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming FinFET devices
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9680014B2 (en) 2015-04-17 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin structures and manufacturing method thereof
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
US9773786B2 (en) 2015-04-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9461110B1 (en) 2015-04-30 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US10269968B2 (en) 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9425313B1 (en) 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9953881B2 (en) 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
US9768145B2 (en) 2015-08-31 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming multi-die package structures including redistribution layers
US9397215B1 (en) * 2015-09-04 2016-07-19 International Business Machines Corporation FinFET with reduced source and drain resistance
US9472620B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
KR102374321B1 (ko) 2015-10-14 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9786614B2 (en) 2015-11-16 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure and method of forming
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
KR102480447B1 (ko) 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9564317B1 (en) 2015-12-02 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a nanowire
US9716146B2 (en) 2015-12-15 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method with solid phase diffusion
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9660033B1 (en) 2016-01-13 2017-05-23 Taiwan Semiconductor Manufactuing Company, Ltd. Multi-gate device and method of fabrication thereof
US9876098B2 (en) 2016-01-15 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a gate spacer
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US10453925B2 (en) 2016-01-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth methods and structures thereof
US10141426B2 (en) * 2016-02-08 2018-11-27 International Business Macahines Corporation Vertical transistor device
US10796924B2 (en) 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US10164061B2 (en) 2016-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating non-volatile memory device array
US10734522B2 (en) 2016-06-15 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stacks
US9716165B1 (en) 2016-06-21 2017-07-25 United Microelectronics Corporation Field-effect transistor and method of making the same
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US9620628B1 (en) 2016-07-07 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact feature
US10269938B2 (en) 2016-07-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a doped passivation layer
US10217741B2 (en) 2016-08-03 2019-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure and method of forming same through two-step etching processes
US9853150B1 (en) 2016-08-15 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating epitaxial gate dielectrics and semiconductor device of the same
US10840350B2 (en) 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanolaminate structure, semiconductor device and method of forming nanolaminate structure
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
KR102575366B1 (ko) 2016-11-09 2023-09-05 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11152362B2 (en) 2016-11-10 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US10879240B2 (en) 2016-11-18 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US9847334B1 (en) 2016-11-18 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with channel layer
US10134870B2 (en) 2016-11-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US10164066B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10062782B2 (en) 2016-11-29 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with multilayered channel structure
US10707328B2 (en) 2016-11-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming epitaxial fin structures of finFET
US11011634B2 (en) 2016-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated source/drain region structure in finFET device
US9953875B1 (en) 2016-11-30 2018-04-24 Taiwan Semiconductor Manufacturing Company Contact resistance control in epitaxial structures of finFET
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US9899273B1 (en) 2016-12-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with dopants diffuse protection and method for forming the same
US10002796B1 (en) 2016-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial growth process for semiconductor device
US10249542B2 (en) 2017-01-12 2019-04-02 International Business Machines Corporation Self-aligned doping in source/drain regions for low contact resistance
US10163731B2 (en) 2017-04-12 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor structure having hybrid substrate and method of fabricating the same
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10050149B1 (en) 2017-05-18 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure for semiconductor device
US10636910B2 (en) 2017-05-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method of forming the same
US10163628B1 (en) 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Lattice-mismatched semiconductor substrates with defect reduction
US9991262B1 (en) 2017-06-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device on hybrid substrate and method of manufacturing the same
US10141430B1 (en) 2017-07-27 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structures with uniform threshold voltage distribution and method of making the same
US10515952B2 (en) 2017-08-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10833152B2 (en) 2017-08-15 2020-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10504898B2 (en) 2017-08-28 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor structure and method for forming the same
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10497577B2 (en) 2017-08-31 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10522680B2 (en) 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
US10276445B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manfacturing Co., Ltd. Leakage reduction methods and structures thereof
US10276718B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a relaxation prevention anchor
US10468275B2 (en) 2017-09-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fabrication with electrochemical apparatus
US10516032B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US10283639B2 (en) 2017-09-28 2019-05-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US10763114B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating gate oxide of semiconductor device
US10804367B2 (en) 2017-09-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stacks for stack-fin channel I/O devices and nanowire channel core devices
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10535737B2 (en) 2017-10-27 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10522418B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US11444173B2 (en) 2017-10-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with salicide layer and method for forming the same
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10276693B1 (en) 2017-10-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10629497B2 (en) 2017-11-02 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device structure and method for enlarging gap-fill window
US10707318B2 (en) 2017-11-15 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10680106B2 (en) 2017-11-15 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain epitaxial stacks
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10269648B1 (en) 2017-11-17 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a semiconductor device structure
US10867859B2 (en) 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10361279B2 (en) 2017-11-24 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing FinFET structure with doped region
US10340190B2 (en) 2017-11-24 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10276449B1 (en) 2017-11-24 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FinFET) device structure
US10504899B2 (en) 2017-11-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with various threshold voltages and method for manufacturing the same
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10658225B2 (en) 2018-01-19 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US10468409B2 (en) 2018-03-14 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with oxidation-resist STI liner structure
KR102543178B1 (ko) 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
US11056392B2 (en) 2018-03-29 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having gate stacks with protruding parts and method of forming the same
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10529414B2 (en) 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell having SiGe PMOS fin lines
US10665697B2 (en) 2018-06-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10522662B1 (en) 2018-06-22 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with T-shaped fin and method for forming the same
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US11355339B2 (en) 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers
US10998310B2 (en) 2018-07-09 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Fins with wide base in a FINFET
US11276695B2 (en) 2018-07-16 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11205700B2 (en) 2018-07-16 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap spacer and related methods
US11271111B2 (en) 2018-07-26 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure with barrier in FinFET device and method for forming the same
US10535667B1 (en) 2018-07-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array and semiconductor chip
US10672879B2 (en) 2018-07-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming FinFET and gate-all-around FET with selective high-K oxide deposition
US11158644B2 (en) 2018-07-31 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with field effect transistors of differing gate dielectric thickness on the same substrate and method of manufacturing the same
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US11069692B2 (en) 2018-07-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with dielectric fins
US11158727B2 (en) 2018-07-31 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for gate-all-around device with extended channel
US11049775B2 (en) 2018-07-31 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having FinFET with work function layers and method of manufacturing the same
US11211293B2 (en) 2018-07-31 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming the same
US10679995B2 (en) 2018-07-31 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10741558B2 (en) 2018-08-14 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet CMOS device and method of forming
US11037837B2 (en) 2018-08-15 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain and methods of forming same
US10714395B2 (en) 2018-09-18 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fin isolation structure for FinFET and method of forming the same
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11437385B2 (en) 2018-09-24 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with reduced fin pitch
US11217585B2 (en) 2018-09-25 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Forming dielectric dummy fins with different heights in different regions of a semiconductor device
US11349008B2 (en) 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US10804162B2 (en) 2018-09-27 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual channel gate all around transistor device and fabrication methods thereof
US11450571B2 (en) 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10790184B2 (en) 2018-09-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation with multi-step structure for FinFET device and method of forming the same
US11094597B2 (en) 2018-09-28 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US10763863B2 (en) 2018-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device for logic and memory co-optimization
US11088262B2 (en) 2018-09-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Radical etching in gate formation
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US11289583B2 (en) 2018-09-28 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gate structure formation
DE102019117897B4 (de) 2018-09-28 2024-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung zur logik- und speicher-co-optimierung sowie schaltung
US11121036B2 (en) 2018-10-16 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11107904B2 (en) 2018-10-23 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer formation in multi-gate transistors
US11133222B2 (en) 2018-10-26 2021-09-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10847426B2 (en) 2018-10-28 2020-11-24 Taiwan Semicondutor Manufacturing Company, Ltd. FinFET devices and methods of forming the same
US10916550B2 (en) 2018-10-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Memory devices with gate all around transistors
US10811255B2 (en) 2018-10-30 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices
US11088281B2 (en) * 2018-10-31 2021-08-10 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of manufacture
US11031291B2 (en) 2018-11-28 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US11973143B2 (en) * 2019-03-28 2024-04-30 Intel Corporation Source or drain structures for germanium N-channel devices
US11239339B2 (en) 2019-04-29 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11088255B2 (en) 2019-05-17 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
CN112018113A (zh) 2019-05-29 2020-12-01 台湾积体电路制造股份有限公司 半导体装置及其形成方法
US11430892B2 (en) 2019-05-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacers for gate-all-around transistors
US10971402B2 (en) 2019-06-17 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including interface layer and method of fabricating thereof
US11728344B2 (en) 2019-06-28 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid SRAM design with nano-structures
US11411112B2 (en) 2019-07-31 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, method of forming the same, and semiconductor device having the same
US11075120B2 (en) * 2019-08-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method
US11245029B2 (en) 2019-08-22 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11515199B2 (en) 2019-08-26 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures including standard cells and tap cells
US11133386B2 (en) 2019-08-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer fin structure
US11315925B2 (en) 2019-08-28 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Uniform gate width for nanostructure devices
US11282942B2 (en) 2019-08-30 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with uniform threshold voltage distribution and method of forming the same
US11545573B2 (en) 2019-09-10 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid nanostructure and fin structure device
US11342231B2 (en) 2019-09-17 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device with low threshold voltage
US11177344B2 (en) 2019-09-25 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device with air gap spacer and fabrication methods thereof
US11469238B2 (en) 2019-09-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Non-interleaving N-well and P-well pickup region design for IC devices
US11322409B2 (en) 2019-09-26 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices and method of fabricating the same
US11205650B2 (en) 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Input/output semiconductor devices
US11621224B2 (en) 2019-09-26 2023-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Contact features and methods of fabricating the same in semiconductor devices
US11282748B2 (en) 2019-09-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11205711B2 (en) 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Selective inner spacer implementations
US11482610B2 (en) 2019-09-26 2022-10-25 Taiwan Semiconductor Manufacturing Co. Method of forming a gate structure
US11443980B2 (en) 2019-09-27 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with metal pad extending into top metal layer
US11031292B2 (en) 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11374104B2 (en) 2019-09-30 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing capacitance in field-effect transistors
US10937704B1 (en) 2019-10-01 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mixed workfunction metal for nanosheet device
US11145650B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate cut dielectric feature and method of forming the same
US11322495B2 (en) 2019-10-28 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11075195B2 (en) 2019-12-26 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated hybrid standard cell structure with gate-all-around device
US11380548B2 (en) 2019-12-30 2022-07-05 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacturing semiconductor structure through multi-implantation to fin structures
US11302692B2 (en) 2020-01-16 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same
US11244899B2 (en) 2020-01-17 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Butted contacts and methods of fabricating the same in semiconductor devices
US11563110B2 (en) 2020-01-30 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US11610822B2 (en) 2020-01-31 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structures for tuning threshold voltage
US11799019B2 (en) 2020-02-27 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation feature and manufacturing method thereof
US11328963B2 (en) 2020-02-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
DE102020119940A1 (de) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrfachgatetransistorstruktur
US11195937B2 (en) 2020-03-31 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate transistor structure
US11289584B2 (en) 2020-04-24 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer features for multi-gate transistors
US11955482B2 (en) * 2020-05-18 2024-04-09 Intel Corporation Source or drain structures with high phosphorous dopant concentration
US11527527B2 (en) 2020-05-21 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Tap cell, integrated circuit structure and forming method thereof
US11302580B2 (en) 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet thickness
US11637099B2 (en) 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Forming ESD devices using multi-gate compatible processes
US11315924B2 (en) 2020-06-30 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure for preventing unintentional merging of epitaxially grown source/drain
US11532718B2 (en) 2020-07-30 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having a gate dielectric comprising a multi-layer structure including an oxide layer with different thicknesses on side and top surfaces of the fins
US11791401B2 (en) 2020-07-30 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11296082B2 (en) 2020-07-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11348921B2 (en) 2020-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
KR20220022780A (ko) 2020-08-19 2022-02-28 삼성전자주식회사 반도체 소자
US11349002B2 (en) 2020-09-25 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof
US11521971B2 (en) 2020-11-13 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gate dielectric having a non-uniform thickness profile
US11784218B2 (en) 2021-01-08 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gate air spacer protection during source/drain via hole etching
US11728394B2 (en) 2021-01-27 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming backside power rails
US11626495B2 (en) 2021-02-26 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Protective liner for source/drain contact to prevent electrical bridging while minimizing resistance
US11615987B2 (en) 2021-03-26 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Backside via with a low-k spacer
US11575047B2 (en) 2021-05-12 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device active region profile and method of forming the same
US11973128B2 (en) 2021-05-27 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming multi-gate transistors
US11916151B2 (en) 2021-06-25 2024-02-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having fin with all around gate
US11670590B2 (en) 2021-08-12 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Chip structure with etch stop layer and method for forming the same
US11894276B2 (en) 2021-08-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having various gate oxide thicknesses and methods of forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050227447A1 (en) * 2004-04-07 2005-10-13 Ping-Pang Hsieh Method for fabricating semiconductor device
US20070241399A1 (en) * 2006-02-15 2007-10-18 Toshifumi Irisawa Semiconductor device and method of fabricating the same
CN101986423A (zh) * 2009-07-28 2011-03-16 台湾积体电路制造股份有限公司 形成高锗浓度的硅锗应力源的方法及集成电路晶体管结构
CN101097956B (zh) * 2006-06-29 2012-06-27 国际商业机器公司 一种FinFET结构和制作FinFET结构的方法
US20130001591A1 (en) * 2011-06-30 2013-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet design and method of fabricating same

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
KR100555518B1 (ko) * 2003-09-16 2006-03-03 삼성전자주식회사 이중 게이트 전계 효과 트랜지스터 및 그 제조방법
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100612415B1 (ko) * 2004-04-09 2006-08-16 삼성전자주식회사 올 어라운드된 채널 영역을 갖는 트랜지스터 및 그 제조방법
US7465986B2 (en) * 2004-08-27 2008-12-16 International Rectifier Corporation Power semiconductor device including insulated source electrodes inside trenches
US7018901B1 (en) * 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
JP2006261235A (ja) * 2005-03-15 2006-09-28 Toshiba Corp 半導体装置
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7968952B2 (en) * 2006-12-29 2011-06-28 Intel Corporation Stressed barrier plug slot contact structure for transistor performance enhancement
EP2147461A1 (en) * 2007-04-19 2010-01-27 Nxp B.V. Nonvolatile memory cell comprising a nanowire and manufacturing method thereof
KR101263648B1 (ko) * 2007-08-31 2013-05-21 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조 방법.
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US8148780B2 (en) * 2009-03-24 2012-04-03 Micron Technology, Inc. Devices and systems relating to a memory cell having a floating body
US8497528B2 (en) * 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
WO2011033665A1 (ja) * 2009-09-18 2011-03-24 株式会社 東芝 半導体装置およびその製造方法
TWI451552B (zh) 2009-11-10 2014-09-01 Taiwan Semiconductor Mfg 積體電路結構
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US20120068268A1 (en) * 2010-09-22 2012-03-22 Hsiao Tsai-Fu Transistor structure and method of fabricating the same
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US20120228628A1 (en) * 2011-03-07 2012-09-13 Toshiba America Electronic Components, Inc. Semiconductor device and method of fabricating the same
US8772860B2 (en) * 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US8927966B2 (en) * 2012-05-22 2015-01-06 Tsinghua University Dynamic random access memory unit and method for fabricating the same
JP2014011230A (ja) * 2012-06-28 2014-01-20 Toshiba Corp 半導体記憶装置およびその製造方法
JP5426732B2 (ja) 2012-07-10 2014-02-26 株式会社東芝 電界効果トランジスタ
US8729607B2 (en) * 2012-08-27 2014-05-20 Kabushiki Kaisha Toshiba Needle-shaped profile finFET device
US8710632B2 (en) * 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9142643B2 (en) * 2012-11-15 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial feature
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050227447A1 (en) * 2004-04-07 2005-10-13 Ping-Pang Hsieh Method for fabricating semiconductor device
US20070241399A1 (en) * 2006-02-15 2007-10-18 Toshifumi Irisawa Semiconductor device and method of fabricating the same
CN101097956B (zh) * 2006-06-29 2012-06-27 国际商业机器公司 一种FinFET结构和制作FinFET结构的方法
CN101986423A (zh) * 2009-07-28 2011-03-16 台湾积体电路制造股份有限公司 形成高锗浓度的硅锗应力源的方法及集成电路晶体管结构
US20130001591A1 (en) * 2011-06-30 2013-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet design and method of fabricating same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107123680A (zh) * 2016-02-25 2017-09-01 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN107123680B (zh) * 2016-02-25 2022-03-08 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN108281481A (zh) * 2017-01-05 2018-07-13 三星电子株式会社 半导体装置
CN108281481B (zh) * 2017-01-05 2023-04-28 三星电子株式会社 半导体装置
CN112018179A (zh) * 2019-05-28 2020-12-01 三星电子株式会社 半导体器件及其制造方法

Also Published As

Publication number Publication date
US20150137180A1 (en) 2015-05-21
US9911829B2 (en) 2018-03-06
KR20140112347A (ko) 2014-09-23
US20160163836A1 (en) 2016-06-09
KR101492719B1 (ko) 2015-02-11
US8963258B2 (en) 2015-02-24
DE102013105735B4 (de) 2017-09-21
CN104051525B (zh) 2016-12-28
US9293581B2 (en) 2016-03-22
US20140264590A1 (en) 2014-09-18
DE102013105735A1 (de) 2014-09-18

Similar Documents

Publication Publication Date Title
CN104051525B (zh) 源极/漏极中带有底部SiGe层的FinFET
US10515856B2 (en) Method of making a FinFET, and FinFET formed by the method
KR102505514B1 (ko) 반도체 장치 및 이의 제조 방법
US9331200B1 (en) Semiconductor device and method for fabricating the same
KR101386858B1 (ko) 반도체 디바이스 및 이의 제조 방법
US9202920B1 (en) Methods for forming vertical and sharp junctions in finFET structures
US9368628B2 (en) FinFET with high mobility and strain channel
CN103928335B (zh) 半导体器件及其制造方法
CN103811345A (zh) 半导体器件及其制造方法
US9263345B2 (en) SOI transistors with improved source/drain structures with enhanced strain
CN102723331B (zh) 一种基于应变Si回型沟道工艺的应变BiCMOS集成器件及制备方法
CN102738158B (zh) 一种基于自对准工艺的应变Si BiCMOS集成器件及制备方法
CN102723340B (zh) 一种SOI BJT双应变平面BiCMOS集成器件及制备方法
CN102723332B (zh) 一种应变Si垂直回型沟道纳米CMOS集成器件及制备方法
CN102931086B (zh) 一种半导体器件的制造方法
CN102738157B (zh) 一种应变Si/应变SiGe-HBT BiCMOS集成器件及制备方法
CN102738151B (zh) 一种SiGe HBT器件应变Si BiCMOS集成器件及制备方法
CN102738174B (zh) 一种三应变全平面SOI BiCMOS集成器件及制备方法
CN102751331B (zh) 一种应变SiGe回型沟道NMOS集成器件及制备方法
CN102800672B (zh) 一种应变SiGe HBT垂直沟道BiCMOS集成器件及制备方法
CN102751280B (zh) 一种应变SiGe回型沟道BiCMOS集成器件及制备方法
CN102376753A (zh) 一种硅锗源/漏结构及其制造方法
CN102751281B (zh) 一种基于三多晶SiGe HBT的应变BiCMOS集成器件及制备方法
CN104465752A (zh) Nmos晶体管结构及其制造方法
CN102738172A (zh) 一种双多晶平面SOI BiCMOS集成器件及制备方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant