KR20140070503A - 반도체 장치의 제조방법, 반도체 장치 - Google Patents

반도체 장치의 제조방법, 반도체 장치 Download PDF

Info

Publication number
KR20140070503A
KR20140070503A KR1020137032923A KR20137032923A KR20140070503A KR 20140070503 A KR20140070503 A KR 20140070503A KR 1020137032923 A KR1020137032923 A KR 1020137032923A KR 20137032923 A KR20137032923 A KR 20137032923A KR 20140070503 A KR20140070503 A KR 20140070503A
Authority
KR
South Korea
Prior art keywords
seed layer
forming
barrier layer
groove
experimental example
Prior art date
Application number
KR1020137032923A
Other languages
English (en)
Inventor
준이치 하마구치
슈지 고다이라
유타 사카모토
아키후미 사노
고키치 가마다
요시유키 가도쿠라
조지 히로이시
유키노부 누마타
고지 스즈키
Original Assignee
가부시키가이샤 아루박
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 아루박 filed Critical 가부시키가이샤 아루박
Publication of KR20140070503A publication Critical patent/KR20140070503A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 장치의 제조방법은, 기체에 홈부를 형성하는 홈부 형성 공정과, 적어도 상기 홈부의 내벽면을 덮는 배리어층을 형성하는 배리어층 형성 공정과, 상기 배리어층을 덮는 시드층을 형성하는 시드층 형성 공정과, 상기 시드층을 리플로우법에 따라 용융시키는 시드층 용융 공정을 구비하고, 상기 시드층은 Cu로 이루어진다.

Description

반도체 장치의 제조방법, 반도체 장치{Method for producing semiconductor device and semiconductor device}
본 발명은 반도체 장치의 제조방법, 반도체 장치에 관한 것으로서, 상세하게는 미세한 배선을 고정밀도로 형성하는 기술에 관한 것이다.
본원은 2011년 9월 30일에 일본에 출원된 일본 특허 출원 2011-215847호에 기초하여 우선권을 주장하고 그 내용을 여기에 원용한다.
종래, 기판에 형성한 반도체 소자 등의 미세한 배선 재료로서 알루미늄이나 알루미늄 합금이 이용되고 있었다. 그러나 알루미늄은 융점이 낮고 또한 내마이그레이션성(耐migration性)이 떨어지기 때문에 반도체 소자의 고집적화, 고속화에 대한 대응이 어려웠다.
따라서 최근에는 배선 재료로서 구리가 이용되도록 되어 있다. 구리는 알루미늄보다 융점이 높고 또한 전기 저항율도 낮기 때문에 LSI 배선 재료로서 유력하다. 그러나 배선 재료로서 구리를 이용할 때 미세 가공이 어렵다는 과제가 있었다. 예를 들면, 특허문헌 1에는 절연층에 홈을 형성하고 이 홈의 내부에 구리를 매립한 후 홈에서 비어져 나온 여분의 구리를 제거함으로써 미세한 홈 안에 구리 배선을 형성하는 방법이 제안되고 있다.
특허문헌 1: 일본 특허공개 평6-103681호 공보
그러나 특허문헌 1에 기재된 발명에서는, 홈의 내부에 빈틈 없이 구리를 매립하기 어렵다는 과제가 있었다.
즉, 홈의 내부에 스퍼터링에 의해 구리를 적층할 경우, 미세한 홈의 내부까지 구리가 퇴적되지 않아 홈의 내부는 빈 채 홈의 개구단 부근에만 구리가 퇴적된다.
또 리플로우법에 따라 홈의 내부를 용융된 구리로 매립하는 경우, 홈의 내벽면에 미리 형성되어 있는 배리어 메탈층에 대해 용융된 구리와의 젖음성이 좋지 않아 홈의 내부에 공동(空洞)이 생긴 상태에서 구리가 고화(固化)된다는 과제가 있었다.
이와 같이 홈의 내부에 형성된 구리 배선에 공동이 생기면 구리 배선의 저항값이 높아져 단선의 우려도 있다.
본 발명에 관한 태양은 상기 과제를 해결하기 위해 이루어진 것으로서, 미세한 홈부의 내부에 빈틈 없이 도전 재료를 매립하여 도전성이 우수한 배선을 얻을 수 있는 반도체 장치의 제조방법 및 반도체 장치를 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해 본 발명은 다음과 같은 반도체 장치의 제조방법, 반도체 장치를 채용하였다.
(1) 본 발명에 관한 일 태양의 반도체 장치의 제조방법은, 기체(基體)에 홈부를 형성하는 홈부 형성 공정과, 적어도 상기 홈부의 내벽면을 덮는 배리어층을 형성하는 배리어층 형성 공정과, 상기 배리어층을 덮는 시드층을 형성하는 시드층 형성 공정과, 상기 시드층을 리플로우법에 따라 용융시키는 시드층 용융 공정을 구비하고, 상기 시드층은 Cu로 이루어진다.
(2) 상기 (1)의 태양에서, 상기 시드층 형성 공정은 상기 배리어층을 덮는 Cu박막을 형성하는 공정과, 상기 Cu박막을 열처리하는 공정을 가지고, 상기 열처리가 100℃이상, 400℃이하의 온도 범위에서 이루어져도 좋다.
(3) 상기 (1) 또는 (2)의 태양에서, 1회의 상기 시드층 형성 공정 및 상기 시드층 용융 공정에서 홈 내부를 매립할 뿐 아니라 상기 시드층 형성 공정 및 상기 시드층 용융 공정을 2회 이상 반복해도 좋다.
(4) 상기 (1) 내지 (3) 중 어느 한 항에 기재된 태양에서, 상기 배리어층은 Ta, Ti, W, Ru, V, Co, Nb 중 적어도 1종을 포함한 재료로 이루어진 구성을 채용해도 좋다.
(5) 상기 (1) 내지 (4) 중 어느 한 항에 기재된 태양에서, 상기 기체는 반도체 기판과, 상기 반도체 기판의 일면에 형성된 절연층으로 이루어진 구성을 채용해도 좋다.
(6) 본 발명에 관한 일 태양의 반도체 장치는, 기체에 형성된 홈부와, 상기 홈부의 내벽면을 덮는 배리어층과, 상기 배리어층의 내측 영역에 매립된 도전체를 구비하고, 상기 도전체는, 상기 배리어층을 덮는 Cu로 이루어진 시드층을 리플로우법에 따라 용융시켜 형성되었다.
본 발명에 관한 상기 태양의 반도체 장치의 제조방법 및 반도체 장치에 의하면, 배리어층을 덮는 Cu로 이루어진 시드층을 리플로우법에 따라 용융시키기 때문에 도전 재료인 Cu가 홈부의 구석구석까지 내부에 공동을 만들지 않고 균일하게 퍼져 국소적인 단선 부분이 없는 고정밀도의 도전체를 얻을 수 있다.
도 1은, 본 발명에 관한 일 실시형태의 반도체 장치를 도시한 주요부 확대 단면도이다.
도 2는, 본 발명에 관한 일 실시형태의 반도체 장치의 제조방법을 단계적으로 도시한 주요부 확대 단면도이다.
도 3은, 본 발명에 관한 일 실시형태의 반도체 장치의 제조방법을 단계적으로 도시한 주요부 확대 단면도이다.
도 4는, 본 발명에 관한 실시형태에서 이용되는 스퍼터링 장치(성막 장치)의 일례를 도시한 모식도이다.
이하, 본 발명에 관한 실시형태의 반도체 장치의 제조방법 및 반도체 장치에 대해 도면에 기초하여 설명하기로 한다. 아울러 본 실시형태는 발명의 취지를 더욱 쉽게 이해시키기 위해 일례를 들어 설명하는 것으로서, 특별히 지정이 없는 한 본 발명을 한정하지는 않는다. 또 이하의 설명에서 이용하는 도면은, 본 발명의 특징을 알기 쉽게 하기 위해 편의상 주요부가 되는 부분을 확대하여 도시하는 경우가 있으며 각 구성 요소의 치수 비율 등이 실제와 동일하다고 한정되지는 않는다.
(반도체 장치)
도 1은, 본 발명에 관한 일 실시형태의 반도체 장치를 도시한 주요부 확대 단면도이다.
반도체 장치(10)는 기체(基體)(11)를 구비하고 있다. 기체(11)는 절연성 기판, 예를 들면 유리 기판, 수지 기판 등으로 구성된다. 아울러 이 기체(11)의 일부에, 예를 들면 반도체 소자 등이 형성되어 있어도 좋다.
기체(11)의 일면(11a)에는 홈부(트렌치)(12)가 형성되어 있다. 홈부(12)는, 예를 들면 기체(11)의 일면(11a)으로부터 기체(11)의 두께방향으로 파인 폭이 가늘면서 깊은 미세한 홈으로 이루어진다. 홈부(12)의 저부 폭(W)은, 예를 들면 20nm∼50nm정도가 되도록 형성된다. 또 홈부(12)의 깊이(D)는, 예를 들면 80nm∼200nm정도가 되도록 형성된다. 이러한 홈부(12)의 내측 영역에, 예를 들면 반도체 소자의 회로 배선을 구성하는 도전체가 형성된다.
홈부(12)에는, 내벽면(12a)을 덮도록 배리어층(배리어 메탈)(13)이 형성되어 있다. 배리어층(13)은, 예를 들면 Ta(탄탈륨)질화물, Ta규화물, Ta탄화물, Ti(티타늄)질화물, Ti규화물, Ti탄화물, W(텅스텐)질화물, W규화물, W탄화물, Ru(루테늄) 및 Ru산화물, V(바나듐)산화물, Co(코발트)산화물, Nb(니오븀)산화물 등으로 구성된다.
배리어층(배리어 메탈)(13)은, 두께(t1)가 예를 들면 1nm∼3nm정도가 되도록 형성된다.
또한 홈부(12)에서의 배리어층(배리어 메탈)(13)의 내측 영역에는, 도전 재료로 이루어진 도전체(14)가 형성되어 있다. 도전체(14)는, Cu(구리)로 구성되어 있다. 이 도전체(14)는, 배리어층(배리어 메탈)(13)의 내측 영역에 시드층을 형성하고 이 시드층을 용융(리플로우)하여 홈부(12)를 매립함으로써 형성한다.
도전체(14)는, 예를 들면 기체(11)에 형성된 반도체 소자의 회로 배선이 된다.
이러한 구성의 반도체 장치(10)에 의하면, 배리어층(배리어 메탈)(13)의 내측 영역에 Cu로 이루어진 시드층을 형성하고, 이 시드층을 용융(리플로우)하여 도전체(14)를 형성함으로써 도전체(14)의 형성시에 도전 재료가 홈부(12)의 내측에 빈틈 없이 매립된다. 따라서 전기 저항이 균일하고 또한 단선 등의 염려가 없는 Cu로 이루어진 도전체(회로 배선)(14)를 구비한 반도체 장치(10)를 실현할 수 있다.
(반도체 장치의 제조방법)
도 2, 도 3은, 본 발명에 관한 일 실시형태의 반도체 장치의 제조방법을 단계적으로 도시한 주요부 확대 단면도이다.
본 발명에 관한 실시형태의 반도체 장치를 제조할 때, 우선 기체(11)를 준비한다(도 2(a) 참조). 기체(11)로서는, 절연성 기판, 반도체 기판이 이용된다. 절연성 기판으로서는, 예를 들면 유리 기판, 수지 기판을 들 수 있다. 또 반도체 기판으로서는, 예를 들면 실리콘 웨이퍼, SiC 웨이퍼 등을 들 수 있다. 기체(11)에는, 예를 들면 미리 반도체 소자(미도시)가 형성되어 있다.
다음으로 이 기체(11)의 일면(11a)에 소정 깊이의 홈부(12)를 형성한다(도 2(b) 참조: 홈부 형성 공정). 홈부(12)는, 예를 들면 반도체 소자의 회로 배선을 본딴 패턴이 되도록 형성된다. 기체(11)의 일면(11a)에 홈부(12)를 형성하는 방법으로서는, 예를 들면 포토리소그래피에 의한 식각 가공이나, 레이저광에 의한 가공을 이용할 수 있다.
다음으로 홈부(12)의 내벽면(12a)을 포함한 기체(11)의 일면(11a)에 소정 두께의 배리어층(배리어 메탈)(13)을 형성한다(도 2(c) 참조:배리어층 형성 공정). 배리어층(배리어 메탈)(13)은, 예를 들면 Ta, Ti, W, Ru, V, Co, Nb 중 적어도 1종을 포함한 재료를 이용하여 형성한다. 배리어층(13)의 형성은, 예를 들면 스퍼터링법이나 CVD법을 이용하는 것이 바람직하다. 또 배리어층(배리어 메탈)(13)은, 두께(t1)가 예를 들면 1nm∼3nm정도가 되도록 형성된다.
도 4는, 배리어층의 형성에 이용하는 스퍼터링 장치(성막 장치)의 일례를 도시한다.
스퍼터링 장치(성막 장치)(1)는, 진공조(2)와, 진공조(2) 내부에 각각 배치된 기판 홀더(7) 및 타겟(5)을 가지고 있다.
진공조(2)에는 진공 배기계(9)와 가스 공급계(4)가 접속되어 있으며 진공조(2) 내부를 진공 배기하고, 진공 배기하면서 가스 공급계(4)로부터 스퍼터 가스와, 화학 구조 중에 질소 또는 산소를 포함한 반응 가스를 도입하여(예를 들면 반응 가스가 산소인 경우, 유량이 0.1sccm이상 5sccm이하), 진공조(2) 내부에 대기압보다 낮은 성막 분위기(예를 들면 전압이 10-4Pa이상 10-1Pa이하)를 형성한다.
그리고 기체(11)에 홈부(12)가 형성된 일면(11a)측을 타겟(5)을 향한 상태에서 기판 홀더(7)에 보관 유지시켜 놓는다. 진공조(2)의 외부에는 스퍼터 전원(8)과 바이어스 전원(6)이 각각 배치되고, 타겟(5)은 스퍼터 전원(8)에, 기판 홀더(7)는 바이어스 전원(6)에 각각 접속되어 있다.
진공조(2)의 외부에 자계 형성 수단(3)이 배치되어 있으며 진공조(2)를 접지 전위에 놓고 진공조(2) 내부의 성막 분위기를 유지하면서 타겟(5)에 음전압을 인가하면 타겟(5)은 마그네트론 스퍼터링된다. 타겟(5)은, 상술한 배리어층(배리어 메탈)(13)의 형성 재료가 주성분이 된다.
그리고 타겟(5)가 마그네트론 스퍼터링되면, 배리어층(13)의 형성 재료가 스퍼터 입자로서 방출된다.
방출된 스퍼터 입자와 반응 가스는 기체(11)에 홈부(12)가 형성된 일면(11a)에 입사되어 홈부(12)의 내벽면(12a)을 포함한 기체(11)의 일면(11a)을 덮도록 배리어층(13)이 형성된다.
다음으로 배리어층(13)을 덮도록 시드층(15)을 형성한다(도 3(a) 참조:시드층 형성 공정). 이 시드층(15)은, 다음 공정에서 리플로우되어 홈부(12)에 매립되는 도전 재료가 된다. 시드층(15)은 Cu로 구성된다. 시드층(15)은, 상술한 배리어층(13)과 마찬가지로 스퍼터링법을 이용하여 형성된다. 시드층(15)은, 예를 들면 두께가 15nm∼55nm정도가 되도록 형성된다.
스퍼터링 장치(성막 장치)(1)를 이용한 시드층(15)의 형성 방법에 대해 설명하기로 한다.
우선, 기판 홀더(7)상에 기체(11)를 배치한 상태에서 진공 배기계(9)에 의해 진공조(2) 내부를 진공 배기하고, 진공 배기하면서 가스 공급계(4)로부터 스퍼터 가스와, 화학 구조 중에 질소 또는 산소를 포함한 반응 가스를 도입하여(예를 들면 반응 가스가 산소인 경우, 유량이 0.1sccm이상 5sccm이하), 진공조(2) 내부에 대기압보다 낮은 성막 분위기(예를 들면 전압이 10-4Pa이상 10-1Pa이하)를 형성한다.
스퍼터 가스를 도입하여 진공조(2) 안이 소정 압력(예를 들면 4.0×10-2Pa의 압력)으로 안정된 후 스퍼터 전원(8)을 기동하여 캐소드 전극(미도시)에 음전압을 인가함으로써 방전이 개시되고, 타겟(5)을 Cu로 하여 타겟(5)의 표면 근방에 플라즈마를 발생시킨다.
그리고 스퍼터링에 의한 성막을 소정 시간 행하여 배리어층(13)을 덮도록 구리 박막을 형성한 후 진공조(2)로부터 기체(11)을 반출한다.
아울러 상술한 스퍼터링 장치(1)의 기판 홀더(7) 내에는 온도 조절 수단(미도시)이 마련되어 있으며, 구리 박막을 형성할 때 기체(11)의 온도를 소정 온도로 조절해 둔다(예를 들면 -20℃).
스퍼터링 장치(1)에서는, 자계 형성 수단(3)이 타겟(5) 표면과 평행하게 이동·회전할 수 있도록 구성되어 있으며, 타겟(5) 표면의 스퍼터링되는 영역(침식(erosion) 영역)을 타겟상의 임의의 위치에 형성시킬 수 있다.
다음으로 시드층(15)을 형성한 기체(11)를 시드층(15)의 용융 온도 이상으로 가열하여 리플로우한다(도 3(b) 참조:시드층 용융 공정). 이로써 시드층(15)은 용되어 홈부(12)의 내측, 즉 배리어층(13)의 내측 영역이 Cu로 이루어진 도전 재료(M)에 의해 매립된다.
시드층(15)의 용융 온도를, 예를 들면 100℃이상, 400℃이하로 한다.
아울러 배리어층(13)의 내측 영역으로의 Cu로 이루어진 도전 재료(M)의 충전이 충분하지 않은 경우에는 시드층 형성 공정 및 시드층 용융 공정을 2회 이상 반복하는 것이 바람직하다. 이로써 보다 확실하게 배리어층(13)의 내측 영역에 Cu로 이루어진 도전 재료(M)를 충전할 수 있다.
그 후, 홈부(12)를 제외한 기체(11)의 일면(11a)에 적층되어 있는 배리어층(13), 도전 재료(M)를 제거한다(도 3(c) 참조). 이로써 각각의 홈부(12)마다 홈부(12)를 매립하는 도전체(14), 즉 회로 배선이 형성된다.
<실시예>
이하, 실험예에 의해 본 발명에 관한 실시형태를 더욱 구체적으로 설명하는데, 본 발명은 이하의 실험예로 한정되지는 않는다.
「실험예 1」
기체로서 두께0.775㎜의 실리콘 산화막이 부착된 실리콘 기판을 준비하였다.
다음으로 이 기체의 일면에, 포토리소그래피에 의한 식각 가공에 의해 깊이 100nm의 홈부를 형성하였다.
다음으로 홈부의 내벽면을 포함한 기체의 일면에, 스퍼터링법에 의해 두께 3nm의 Ta로 이루어진 배리어층을 형성하였다.
다음으로 배리어층을 덮도록, 스퍼터링법에 의해 두께 25nm의 시드층 구리 박막을 형성하였다. 구리 박막을 형성할 때 기체의 온도를 -20℃로 조절하였다.
다음으로 시드층을 형성한 기체를 400℃로 가열하여 시드층을 용융하고 홈부의 내측, 즉 배리어층의 내측 영역에 Cu로 이루어진 도전 재료를 매립하였다.
배리어층의 내측 영역에 Cu로 이루어진 도전 재료를 매립한 후, 그 기체에 대해 주사형 전자현미경(SEM)을 이용하여 홈부의 충전율(홈부가 Cu로 충전되어 있는 비율, 부피%)을 조사하였다.
아울러 충전율이 90%이상인 경우를 ○, 충전율이 80%이상 90%미만인 경우를 △, 충전율이 80%미만인 경우를 ×로 평가하였다.
결과를 표 1에 나타낸다.
「실험예 2」
두께 35nm의 Cu로 이루어진 시드층을 형성한 것 이외에는 실험예 1과 동일하게 하여 기체의 홈부 안에 Cu를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 1에 나타낸다.
「실험예 3」
두께 45nm의 Cu로 이루어진 시드층을 형성한 것 외에는 실험예 1과 동일하게 하여 기체의 홈부 안에 Cu를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 1에 나타낸다.
「실험예 4」
시드층을 형성한 기체를 300℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 1과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 1에 나타낸다.
「실험예 5」
두께 35nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 300℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 1과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 1에 나타낸다.
「실험예 6」
두께 45nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 300℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 1과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 1에 나타낸다.
「실험예 7」
두께 55nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 300℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 1과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 1에 나타낸다.
「실험예 8」
시드층을 형성한 기체를 200℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 1과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 1에 나타낸다.
「실험예 9」
두께 35nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 200℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 1과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 1에 나타낸다.
「실험예 10」
두께 45nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 200℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 1과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 1에 나타낸다.
「실험예 11」
기체로서 두께 0.775㎜의 실리콘 산화막이 부착된 실리콘 기판을 준비하였다.
다음으로 이 기체의 일면에, 포토리소그래피에 의한 식각 가공에 의해 깊이 100nm의 홈부를 형성하였다.
다음으로 홈부의 내벽면 포함한 기체의 일면에, 스퍼터링법에 의해 두께 3nm의 Ta로 이루어진 배리어층을 형성하였다.
다음으로 배리어층을 덮도록, 스퍼터링법에 의해 두께 25nm의 구리 박막을 형성하였다. 구리 박막을 형성할 때 기체의 온도를 -20℃로 조절하였다.
다음으로 시드층을 형성한 기체를 400℃로 가열하여 시드층을 용융하고 홈부의 내측, 즉 배리어층의 내측 영역에 Cu로 이루어진 도전 재료를 매립하였다.
다시 배리어층의 내측 영역에, 스퍼터링법에 의해 구리 박막을 형성하였다. 구리 박막을 형성할 때 기체의 온도를 -20℃로 조절하였다.
다음으로 시드층을 형성한 기체를 400℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu로 이루어진 도전 재료를 매립하였다.
그 후, 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
「실험예 12」
시드층을 형성한 기체를 350℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 11과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
「실험예 13」
두께 35nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 350℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 11과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
「실험예 14」
두께 40nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 350℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 11과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
「실험예 15」
두께 15nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 300℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 11과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
「실험예 16」
시드층을 형성한 기체를 300℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 11과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
「실험예 17」
두께 35nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 300℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 11과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
「실험예 18」
두께 40nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 300℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 11과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
「실험예 19」
두께 45nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 300℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 11과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
「실험예 20」
시드층을 형성한 기체를 250℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 11과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
「실험예 21」
두께 35nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 250℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 11과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
「실험예 22」
두께 40nm의 Cu로 이루어진 시드층을 형성하고, 시드층을 형성한 기체를 250℃로 가열하여 시드층을 용융하고 홈부의 내측에 Cu를 매립한 것 외에는 실험예 11과 동일하게 하여 기체의 홈부 안에 도전체를 충전하였다.
또 실험예 1과 동일하게 하여 홈부의 충전율을 조사하였다.
결과를 표 2에 나타낸다.
Figure pct00001
Figure pct00002
표 1의 결과로부터, 시드층의 두께를 35nm이상, 시드층의 용융 온도를 300℃이상으로 하면 홈부에 대한 도전 재료(Cu)의 충전성이 향상된다는 것을 알 수 있었다.
표 2의 결과로부터, 시드층 형성 공정 및 시드층 용융 공정을 2회 반복한 경우 시드층의 두께를 35nm이상, 시드층의 용융 온도를 250℃이상으로 하면 홈부에 대해 도전 재료(Cu)를 충분히 충전할 수 있다는 것을 알 수 있었다.
10 반도체 장치 11 기체
12 홈부(트렌치) 13 배리어층(배리어 메탈)
14 도전체(회로 배선) 15 시드층

Claims (6)

  1. 기체에 홈부를 형성하는 홈부 형성 공정;
    적어도 상기 홈부의 내벽면을 덮는 배리어층을 형성하는 배리어층 형성 공정;
    상기 배리어층을 덮는 시드층을 형성하는 시드층 형성 공정;
    상기 시드층을 리플로우법에 따라 용융시키는 시드층 용융 공정;
    을 구비하고,
    상기 시드층은 Cu로 이루어진 것을 특징으로 하는 반도체 장치의 제조방법.
  2. 청구항 1에 있어서,
    상기 시드층 형성 공정은, 상기 배리어층을 덮는 Cu 박막을 형성하는 공정과, 상기 Cu 박막을 열처리하는 공정을 가지고,
    상기 열처리가 100℃이상 400℃이하의 온도 범위에서 이루어지는 것을 특징으로 하는 반도체 장치의 제조방법.
  3. 청구항 1에 있어서,
    상기 시드층 형성 공정 및 상기 시드층 용융 공정을 2회 이상 반복하는 것을 특징으로 하는 반도체 장치의 제조방법.
  4. 청구항 1에 있어서,
    상기 배리어층은 Ta, Ti, W, Ru, V, Co, Nb 중 적어도 1종을 포함한 재료로 이루어진 것을 특징으로 하는 반도체 장치의 제조방법.
  5. 청구항 1에 있어서,
    상기 기체는, 반도체 기판과, 상기 반도체 기판의 일면에 형성된 절연층으로 이루어지는 것을 특징으로 하는 반도체 장치의 제조방법.
  6. 기체에 형성된 홈부와, 상기 홈부의 내벽면을 덮는 배리어층과, 상기 배리어층의 내측 영역에 매립된 도전체를 구비하고,
    상기 도전체는, 상기 배리어층을 덮는 Cu로 이루어진 시드층을 리플로우법에 따라 용융시켜 형성된 것을 특징으로 하는 반도체 장치.
KR1020137032923A 2011-09-30 2012-09-20 반도체 장치의 제조방법, 반도체 장치 KR20140070503A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2011-215847 2011-09-30
JP2011215847 2011-09-30
PCT/JP2012/074075 WO2013047323A1 (ja) 2011-09-30 2012-09-20 半導体装置の製造方法、半導体装置

Publications (1)

Publication Number Publication Date
KR20140070503A true KR20140070503A (ko) 2014-06-10

Family

ID=47995355

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137032923A KR20140070503A (ko) 2011-09-30 2012-09-20 반도체 장치의 제조방법, 반도체 장치

Country Status (6)

Country Link
US (1) US9337092B2 (ko)
JP (1) JPWO2013047323A1 (ko)
KR (1) KR20140070503A (ko)
CN (1) CN103620746A (ko)
TW (1) TWI479599B (ko)
WO (1) WO2013047323A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10681778B2 (en) * 2017-11-21 2020-06-09 Watlow Electric Manufacturing Company Integrated heater and method of manufacture
US11527437B2 (en) 2020-09-15 2022-12-13 Applied Materials, Inc. Methods and apparatus for intermixing layer for enhanced metal reflow

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08316233A (ja) * 1994-06-21 1996-11-29 Toshiba Corp 半導体装置の製造方法
JPH1074760A (ja) * 1996-08-30 1998-03-17 Sony Corp 配線形成方法
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
KR100465063B1 (ko) * 2002-04-01 2005-01-06 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
JP2007001850A (ja) * 2005-05-27 2007-01-11 Hitachi Chem Co Ltd 単結晶の熱処理方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06103681A (ja) 1992-09-18 1994-04-15 Nec Home Electron Ltd 磁気ディスク装置
US6475903B1 (en) 1993-12-28 2002-11-05 Intel Corporation Copper reflow process
US5654232A (en) * 1994-08-24 1997-08-05 Intel Corporation Wetting layer sidewalls to promote copper reflow into grooves
JPH08264535A (ja) * 1995-03-27 1996-10-11 Fujitsu Ltd 半導体装置の製造方法
US5891803A (en) * 1996-06-26 1999-04-06 Intel Corporation Rapid reflow of conductive layers by directional sputtering for interconnections in integrated circuits
KR100259357B1 (ko) * 1998-02-07 2000-06-15 김영환 반도체 소자의 배선형성방법
US6184137B1 (en) * 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
JP2002075994A (ja) * 2000-08-24 2002-03-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP2008071850A (ja) * 2006-09-13 2008-03-27 Sony Corp 半導体装置の製造方法
CN101399220A (zh) * 2007-09-27 2009-04-01 力晶半导体股份有限公司 金属互连的制造方法
KR100975652B1 (ko) * 2007-10-05 2010-08-17 한국과학기술원 아연 및 아연합금을 이용한 비아 및 그의 형성 방법, 그를3차원 다중 칩 스택 패키지 제조 방법
US20090194875A1 (en) * 2008-01-31 2009-08-06 International Business Machines Corporation HIGH PURITY Cu STRUCTURE FOR INTERCONNECT APPLICATIONS
KR20130053338A (ko) * 2011-11-15 2013-05-23 삼성전자주식회사 Tsv 구조를 구비한 집적회로 소자
US8637957B1 (en) * 2012-07-18 2014-01-28 International Business Machines Corporation Low cost anti-fuse structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08316233A (ja) * 1994-06-21 1996-11-29 Toshiba Corp 半導体装置の製造方法
JPH1074760A (ja) * 1996-08-30 1998-03-17 Sony Corp 配線形成方法
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
KR100465063B1 (ko) * 2002-04-01 2005-01-06 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
JP2007001850A (ja) * 2005-05-27 2007-01-11 Hitachi Chem Co Ltd 単結晶の熱処理方法

Also Published As

Publication number Publication date
JPWO2013047323A1 (ja) 2015-03-26
TW201324683A (zh) 2013-06-16
CN103620746A (zh) 2014-03-05
TWI479599B (zh) 2015-04-01
US9337092B2 (en) 2016-05-10
US20150221552A1 (en) 2015-08-06
WO2013047323A1 (ja) 2013-04-04

Similar Documents

Publication Publication Date Title
TWI544576B (zh) 形成全包覆互連線的方法
US20230130273A1 (en) Interconnect wires including relatively low resistivity cores
KR20000035640A (ko) 반도체 구조물에서의 저온 구리 리플로우를 개선하기 위한구조물 및 방법
JP2011091242A (ja) 半導体装置の製造方法
KR100594276B1 (ko) 반도체 소자의 금속 배선 형성 방법
US6220204B1 (en) Film deposition method for forming copper film
CN107895710B (zh) 导通孔的铜填充工艺
KR20140070503A (ko) 반도체 장치의 제조방법, 반도체 장치
TW201611184A (zh) Cu配線之製造方法
JP2013077631A (ja) 半導体装置の製造方法、半導体装置
JP2013171940A (ja) 半導体装置の製造方法
JP5607243B2 (ja) 半導体装置の製造方法
JP2005340830A (ja) コンタクトホール内の金属窒化膜の形成方法、及びその方法によって形成された金属窒化膜
JP5965628B2 (ja) Cu層形成方法及び半導体装置の製造方法
JP2013074173A (ja) 半導体装置の製造方法、半導体装置
US8883632B2 (en) Manufacturing method and manufacturing apparatus of device
JP5794905B2 (ja) リフロー法及び半導体装置の製造方法
JP2013080779A (ja) 半導体装置の製造方法、半導体装置
JPWO2011034092A1 (ja) バリアメタル膜の形成方法
JP2005311087A (ja) アルミニウム配線の形成方法
JPH0426769A (ja) 銅薄膜の形成方法
JPH1197388A (ja) 半導体装置の製造方法とその製造装置
JPH03263826A (ja) 半導体装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application