KR20140006037U - 플라즈마 처리 장치의 교체가능한 상부 체임버 부품 - Google Patents

플라즈마 처리 장치의 교체가능한 상부 체임버 부품 Download PDF

Info

Publication number
KR20140006037U
KR20140006037U KR2020147000054U KR20147000054U KR20140006037U KR 20140006037 U KR20140006037 U KR 20140006037U KR 2020147000054 U KR2020147000054 U KR 2020147000054U KR 20147000054 U KR20147000054 U KR 20147000054U KR 20140006037 U KR20140006037 U KR 20140006037U
Authority
KR
South Korea
Prior art keywords
inches
gas
chamber
plasma
window
Prior art date
Application number
KR2020147000054U
Other languages
English (en)
Other versions
KR200478069Y1 (ko
Inventor
다니엘 아서 브라운
제프 에이. 보가트
이안 제이. 켄워시
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140006037U publication Critical patent/KR20140006037U/ko
Application granted granted Critical
Publication of KR200478069Y1 publication Critical patent/KR200478069Y1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma

Abstract

본 고안에 따른 플라즈마 반응 체임버의 상부 체임버 부는, 열전쌍 및 저항 온도 검출기의 수용을 위해 상부 표면에 블라인드 구멍들을 갖는 세라믹 윈도우, 윈도우의 바닥부에 대해서 진공 밀봉하는 상부 표면과 최상부 체임버 인터페이스의 측벽에 탑재되는 8개의 측면 주입기를 포함하는 가스 주입 시스템을 포함하는 최상부 체임버 인터페이스 및 단일 가스 피드 연결부로부터 8개의 주입기들로 대칭적인 가스 흐름을 제공하는 배관을 포함하는 가스 전달 시스템을 포함한다.

Description

플라즈마 처리 장치의 교체가능한 상부 체임버 부품{REPLACEABLE UPPER CHAMBER PARTS OF PLASMA PROCESSING APPARATUS}
본 출원은 2009년 9월 10일자로 출원되어 전체 내용이 참조에 의해 본 명세서에 통합된, 고안의 명칭이 "플라즈마 처리 장치의 교체가능한 상부 체임버 부품(REPLACEABLE UPPER CHAMBER PARTS OF PLASMA PROCESSING APPARATUS)"인 U.S. 가출원 제61/241,321호에 대하여 35 U.S.C§119 규정에 의거하여 우선권을 주장한다.
본 고안은 일반적으로 반도체 기판 제조 기법에 관한 것이고, 구체적으로 플라즈마 체임버의 상부 체임버 부의 교체 부품에 관한 것이다.
기판, 예를 들면 반도체 기판 또는 평판 디스플레이 제조에 사용되는 유리 패널의 처리시에, 플라즈마가 종종 사용된다. 기판 처리의 일부로서, 예를 들면 기판은 복수의 다이, 또는 정사각형 영역들로 분할되고, 이들 각각은 집적회로가 된다. 그 다음 기판은 일련의 단계들로 처리되는데, 이 일련의 단계들에 있어서 재료들은 선택적으로 제거 (에칭) 되고 퇴적 (증착) 되어서 기판상에 전기적인 구성요소를 형성하게 된다.
예시적인 플라즈마 공정에서, 기판은 에칭 전에 경화된 에멀전의 박막 (즉, 포토레지스트 마스크 등) 으로 피복된다. 그 다음 경화된 에멀전의 영역들을 선택적으로 제거하여, 아래 놓인 층의 구성요소들이 노출되게 한다. 그 다음 기판을 플라즈마 처리 체임버 내, 척 (chuck) 또는 페디스털 (pedestal) 로 불리는 단극성 또는 쌍극성 전극을 포함하는 기판 지지 구조물 상에 놓는다. 그 다음 적절한 에천트 소스가 체임버로 유입되고 점화되어 플라즈마를 형성함으로써 기판의 노출된 영역들을 에칭한다.
도 1을 참조하면, 유도 결합 플라즈마 처리 시스템 구성요소들의 개략도가 도시되어 있다. 일반적으로, 플라즈마 체임버 (이하 체임버) (202) 는 체임버의 측벽을 형성하는 바닥 체임버 부 (250), 또한 체임버의 측벽을 형성하는 상부 체임버 부 (244) 및 덮개 (252) 로 구성된다. 적절한 세트의 가스들이 가스 분배 시스템 (222) 으로부터 체임버 (202) 로 유입된다. 이들 플라즈마 처리 가스들은 이후에 이온화되어 플라즈마 (220) 를 형성하여, 정전기 척 (이하 척) (216) 상의 에지 링 (215) 에 의해 위치된, 반도체 기판 또는 유리 판과 같은, 기판 (224) 의 노출된 영역들을 처리 (예를 들면, 에칭 또는 증착) 한다. 가스 분배 시스템 (222) 은 플라즈마 처리 가스 (예를 들면, C4F8, C4F6, CHF3, CH2F3, CF4, HBr, CH3F, C2F4, N2, O2, Ar, Xe, He, H2, NH3, SF6, BCl3, Cl2 등) 를 함유하는 압축 가스 실린더 (미도시) 로 보통 구성된다.
유도 코일 (231) 은 체임버의 상부 벽을 형성하는 유전체 윈도우 (204) 에 의해 플라즈마로부터 분리되고, 일반적으로 시간에 따라 변화하는 전류를 플라즈마 처리 가스에 유도하여 플라즈마 (220) 를 생성한다. 그 윈도우는 플라즈마 (220) 로부터 유도 코일을 보호하고, 발생된 RF 필드 (208) 가 유도 전류 (211) 를 플라즈마 처리 체임버 내에 발생하도록 한다. 매칭 네트워크 (matching network) (232) 가 유도 코일 (231) 에 부가적으로 결합되고, 이 매칭 네트워크 (232) 는 RF 발생기 (234) 에 부가적으로 결합될 수 있다. 매칭 네트워크 (232) 는 통상적으로 약 13.56㎒ 및 약 50오옴에서 작동하는 RF 발생기 (234) 의 임피던스를 플라즈마 (220) 의 임피던스로 매칭하게 된다. 추가적으로, 제2 RF 에너지 소스 (238) 가 또한 매칭 네트워크 (236) 를 통해 기판 (224) 에 결합되어 플라즈마에 대한 바이어스를 발생시키고 플라즈마를 플라즈마 처리 시스템 내의 구조물로부터 멀리 기판 쪽을 향하게 할 수 있다. 가스 및 부산물들은 펌프 (220) 에 의해 체임버로부터 제거된다.
일반적으로, 일종의 냉각 시스템 (240) 이 척 (216) 에 결합되어, 일단 플라즈마가 점화되면 열 평형을 이루게 된다. 냉각 시스템 자체는 보통 척 내부의 공동 (cavities) 을 통해 냉매를 펌핑하는 칠러 (chiller), 그리고 척과 기판 사이에서 펌핑되는 헬륨 가스로 구성된다. 발생된 열의 제거 이외에, 헬륨 가스는 또한 냉각 시스템이 열 소산을 급히 제어할 수 있도록 한다. 즉, 헬륨 압력을 증가시키면 열 전달률이 증가한다. 대부분의 플라즈마 처리 시스템들은 또한 작동 소프트웨어 프로그램을 포함하는 복잡한 컴퓨터들에 의해 제어된다. 예시적인 작동 환경하에서, 제조 공정 파라미터 (예를 들면, 전압, 가스 흐름 혼합, 가스 흐름 속도, 압력 등) 은 일반적으로 특정 플라즈마 처리 시스템 및 특정 레시피에 대해 구성된다.
부가적으로, 가열 및 냉각 장치 (246) 는 플라즈마 처리 장치 (202) 의 상부 체임버 부 (244) 의 온도를 제어하도록 작동되어, 작동하는 동안 플라즈마에 노출되는, 상부 체임버 부 (244) 의 내부 표면이 제어 온도로 유지될 수 있도록 한다. 가열 및 냉각 장치 (246) 은 수개의 상이한 재료 층들에 의해 형성되어 가열 및 냉각 작동 양쪽 모두를 제공한다.
상부 체임버 부는 그 자체가 보통 플라즈마 저항성 재료들로 구성되고, 이 플라즈마 저항성 재료들은 플라즈마 처리 시스템 내에서 발생된 RF 필드를 접지하거나 투과시키게 된다 (예를 들면, 코팅 또는 미코팅 알루미늄, 세라믹 등).
예를 들면, 상부 체임버 부는 가공된 알루미늄 편일 수 있고, 이 알루미늄 편은 세정 또는 교체를 위해서 제거될 수 있다. 바람직하게는 상부 체임버 부의 내부 표면은 열 분무 이트륨 산화물 코팅과 같은 플라즈마 저항성 재료들로 코팅된다. 세정은 이러한 유형의 세라믹 코팅이 쉽게 손상되는 점에서 문제가 있고, 몇몇 플라즈마 공정의 민감한 처리로 인하여, 때로는 상부 체임버 부를 교체하는 것이 세정을 위해 제거하는 것보다 더 바람직하다.
부가적으로, 유지보수후 상부 체임버 부를 정확히 재장착하는 것이 종종 곤란한데, 왜냐하면 상부 체임버 부가 바닥 체임버 부와 적절히 배열되어서 가스켓의 세트가 상부 체임버 부 둘레를 적절히 밀봉하도록 해야 하기 때문이다. 약간의 배열 불일치가 있어도 적절한 탑재 배열이 방해된다.
또한 상부 체임버 영역에 있는 재료들의 체적은 실질적인 열질량부 (thermal mass) 를 플라즈마 처리 시스템에 추가하는 경향이 있다. 열질량부는 장기간 동안 열 에너지를 저장할 용량을 갖는 재료들을 지칭한다. 일반적으로 플라즈마 처리는 온도 변화에 매우 민감한 경향이 있다. 예를 들면, 확립된 처리 윈도우 밖의 온도 변화는, 기판 표면 상의, 폴리-플루오로카본과 같은 중합체 막의 에칭률 또는 증착률에 직접 영향을 미칠 수 있다. 기판 사이의 온도 재현성이 종종 요망되는데, 왜냐하면 다수의 플라즈마 처리 레시피는 또한 온도 변화가 십분의 수 도씨 정도일 필요가 있을 수 있기 때문이다. 이 때문에, 실질적으로 플라즈마 처리를 확립된 파라미터들 내에 유지하기 위해 상부 체임버 부가 종종 가열되거나 냉각된다.
플라즈마가 점화될 때, 기판은 열 에너지를 흡수하고, 이 열에너지는 나중에 측정되고 그 다음 냉각 시스템을 통해 제거된다. 마찬가지로, 상부 체임버 부가 열적으로 제어될 수 있다. 하지만, 플라즈마 처리는 다단계 처리 동안 온도 변화를 필요로 할 수 있고 상부 체임버 부를 100℃ 보다 높은 온도, 예를 들면 120, 130, 140, 150 또는 160℃ 또는 이들 사이의 임의의 온도로 가열할 필요가 있을 수 있는 반면에 종래의 상부 체임버 부들은 60℃ 정도의 훨씬 낮은 온도에서 가동되었다. 온도가 보다 높아지면 바닥 체임버 부과 같은 인접 구성요소들의 바람직하지 못한 온도 증가가 야기될 수 있다. 예를 들면, 상부 체임버 부 및 위에 놓인 유전체 윈도우를 130 내지 150℃의 정도의 온도에서 가동하고 바닥 체임버 부를 약 30℃의 주위 온도에서 가동하고자 할 경우, 훨씬 뜨거운 상부 체임버 부로부터 열이 바닥 체임버 부로 흐를 수 있고 반도체 기판에 의해 확인되는 플라즈마 처리 상태에 영향을 끼칠 정도로 바닥 체임버 부의 온도가 상승될 수 있다. 따라서, 상부 체임버 부로부터 유래하는 열 흐름 변화로 인해 기판 온도가 좁은 레시피 파라미터들 범위 밖으로 변경될 수 있다.
전술한 사항들에 비추어, 플라즈마 처리 시스템에서의 플라즈마 처리를 최적화하는데 기여할 수 있는 바람직한 특징들을 갖는 교체가능한 상부 체임버 부품들이 요망된다.
바람직한 실시 형태에 있어서, 반도체 기판들이 처리될 수 있는 플라즈마 반응 체임버의 상부 체임버 부의 교체가능한 최상부 체임버 인터페이스는, 균일한 직경의 내부 표면, 내부 표면으로부터 멀리 수평으로 연장되는 상부 진공 밀봉 표면 및 내부 표면으로부터 멀리 수평으로 연장되는 하부 진공 밀봉 표면을 갖는 모놀리식 금속 실린더; 플라즈마 체임버의 유전체 윈도우에 대해서 밀봉하도록 구성된 상부 환형 진공 밀봉 표면; 플라즈마 체임버의 바닥부에 대해서 밀봉하도록 구성된 하부 환형 진공 밀봉 표면; 실린더의 상부 부분의 열질량부로서, 이 열질량부는 내부 표면과 상부 플랜지로부터 수직으로 연장되는 외부 표면 사이의 실린더의 보다 넓은 부분에 의해 정의되며, 이 열질량부 내부 표면의 방위각상 온도 균일성 (azimuthal temperature uniformity) 을 제공하는데 유효한 열질량부, 및 하부 진공 밀봉 표면에 걸쳐 열의 전달을 최소화하는데 유효한 실린더의 하부 부분의 열초크로서, 이 열초크는 0.25인치 미만의 두께를 갖고 내부 표면의 길이의 적어도 25% 연장되는 얇은 금속 구역에 의해 정의되는 열초크를 포함한다.
또 하나의 실시 형태에 있어서, 반도체 기판들이 처리될 수 있는 플라즈마 반응 체임버의 상부 체임버 부의 교체가능한 윈도우는, 균일한 두께를 갖는 세라믹 디스크, 온도 모니터링 센서를 수용하도록 구성된 적어도 하나의 블라인드 홀, 최상부 체임버 인터페이스의 상부 진공 밀봉 표면에 대해서 밀봉하도록 구성된 진공 밀봉 표면, 및 처리 가스를 체임버의 중심로 전달하는 최상부 가스 주입기를 수용하도록 구성된 중앙 구멍을 포함한다.
추가의 실시 형태에 있어서, 최상부 체임버 인터페이스의 측벽에 탑재된 측면 주입기에 가스를 공급하도록 구성된 가스 전달 시스템은 2 분지의 가스 라인들을 포함하고, 이 가스 라인들은 공통 피드와 가스 관들로부터 튜닝 가스를 수용하고 이 가스 관들은 이 공통 피드로부터 주입기까지 등거리로 튜닝 가스를 흐르게 하도록 배열된다. 측면 주입기는 최상부 체임버 인터페이스 둘레에 대칭적으로 배열된 8개의 주입기를 포함할 수 있고 가스 라인들은 8개의 가스 라인들을 포함할 수 있는데, 그 중 동일한 길이의 2개의 1차 가스 라인들은 공통 피드로부터 연장되고, 동일한 길이의 2개의 2차 가스 라인들은 1차 가스 라인들의 출구로부터 연장되고, 동일한 길이의 4개의 3차 가스 라인들은 2차 가스 라인의 출구로부터 연장된다. 1차 가스 라인들은 2차 가스 라인들보다 더 길고 2차 가스 라인들은 3차 가스 라인들보다 더 길다. 1차 가스 라인들은 2차 가스 라인들의 중간 지점에 연결되고 2차 가스 라인들은 3차 가스 라인들의 중간 지점에 연결된다. 가스 전달 시스템은 최상부 체임버 인터페이스의 외부 표면에 있는 환형 리세스에 의해 정의되는 작은 체적내에 끼워 맞추어지도록 설계된다.
도 1은 플라즈마 처리 시스템의 개략도이다;
도 2는 본 명세서에서 설명되는 윈도우, 최상부 체임버 인터페이스 및 측면 주입기 가스 공급 시스템을 포함할 수 있는 예시적인 플라즈마 체임버의 사시도이다.
도 3a 내지 도 3d는 본 명세서에 기재된 최상부 체임버 인터페이스의 상세도이다.
도 4a 내지 도 4h는 본 명세서에 기재된 세라믹 윈도우의 상세도이다.
도 5a 내지 도 5k는 본 명세서에 기재된 측면 가스 주입 전달 시스템의 상세도이다.
도 6a 내지 도 6b는 최상부 체임버 인터페이스의 측벽에 있는 개구에 탑재되고 측면 가스 주입 시스템에 의해 가스가 공급되는 가스 주입기의 상세도이다.
이하에서는 첨부도면에 예시된 본 고안의 몇몇 바람직한 실시 형태를 참조하여 본 고안을 상세히 설명한다. 다음의 상세한 설명에서, 다수의 특정 세부사항들은 본 고안을 완전히 이해하기 위해서 제시된다. 하지만, 이 기술분야에서 통상의 지식을 가진 자에게 라면 본 고안이 이들 특정 세부사항들의 일부 또는 전부 없이도 실시될 수 있음은 자명할 것이다. 기타의 경우, 본 고안을 불필요하게 불분명하게 하지 않기 위해서 주지된 처리 단계 및/또는 구조들은 자세히 설명하지 않았다. 본 명세서에서 사용된, 용어 "약"은 기재된 값들의 위나 아래로 10%까지의 값들을 포함하는 것으로 해석되야 한다.
도 2에 예시된 바와 같은 플라즈마 체임버의 상부 체임버 부의 교체가능한 부품들을 여기서 설명한다. 이 부품들은 세라믹 윈도우, 최상부 체임버 인터페이스 및 측면 가스 주입 전달 시스템을 포함한다.
도 2에 도시된 플라즈마 시스템은 체임버 (10) 를 포함하고, 이 체임버 (10) 는 하부 체임버 (12) 와 상부 체임버 (14) 를 포함한다. 상부 체임버 (14) 는 최상부 체임버 인터페이스 (15) 를 포함하고, 이 최상부 체임버 인터페이스 (15) 는 유전체 윈도우 (16) 를 지지한다. RF 코일 (18) 이 윈도우 위에 놓이고, 처리 가스를 체임버 내부에서 플라즈마 상태가 되도록 에너지를 가하기 위해 RF 파워를 공급한다. 최상부 가스 주입기는 가스 공급 라인 (20) 으로부터 처리 가스를 전달하기 위해 윈도우의 중심에 탑재된다.
도 3a 내지 도 3d는 최상부 체임버 인터페이스 (15) 를 상세히 나타낸다. 도 3a는 최상부 체임버 인터페이스 (15) 의 평면도이고, 도 3b는 두꺼운 벽부 (15a), 얇은 벽부 (15b), 상부 진공 밀봉 표면 (15c), 하부 진공 밀봉 표면 (15d) 및 측벽 (15f) 에 있는 측면 주입 포트 (15e) 를 예시하는 측면 횡 단면도이다. 도 3c는 상부 진공 밀봉 표면 (15c) 을 상세히 나타내고 여기서 O-링의 수용을 위한 다양한 치수의 표면 및 홈부 (15g) 가 제시되어 있다. 도 3d는 외벽 (15i) 에 있는 환형 리세스 (15h) 에 있는 측면 가스 주입기의 위치를 나타내는 사시도이다.
도 4a는 윈도우 (16) 를 상세히 나타내고, 이 윈도우 (16) 는 최상부 주입기의 수용을 위한 중앙 개구 (16a), 온도 센서의 수용을 위한 상부 표면 (16c) 에 있는 블라인드 홀 (16b), 그리고 외측 표면 (16f) 의 바닥 플랜지 (16e) 에 있는 클로킹 피처 (clocking feature) (16d) 를 포함한다. 도 4b는 도 4A에 도시된 윈도우의 측면도이다. 도 4c는 플라즈마 분무 이트륨 산화물과 같은 세라믹 코팅으로 코팅된 리세스된 표면의 외측의 진공 밀봉 표면 (16g) 을 상세히 나타낸다. 도 4d는 윈도우의 외주부의 단면을 나타내고 여기서 둥글게 만들어진 리세스 (16i) 는 측벽 (16f) 으로 연장된다. 도 4e는 블라인드 구멍 (blind bore) (16b) 들 중 하나의 상세도 및 치수를 나타낸다. 도 4f는 클로킹 피처 (16d) 를 상세히 그리고 그의 치수들을 나타내고, 이 클로킹 피처 (16d) 는 단일 위치에서 윈도우의 측면으로 연장되는 0.625인치 반경을 갖는 리세스이고 이 리세스의 에지들은 반경 중심과 90°의 각도를 이룬다. 도 4g는 윈도우의 상세 그리고 그 치수들을 나타내고 도 4h는 블라인드 구멍의 상대적인 깊이를 예시하는 윈도우의 단면도를 나타낸다.
도 5a는 체임버의 평면도를 나타내고 여기서 실린더형의 최상부 체임버 인터페이스 (15) 는 상부 체임버 (14) 의 정방형 하우징 내부에 위치된다. 도 5b는 도 5A의 상부 좌측 코너부의 확대도로서 최상부 체임버 인터페이스를 지지하기 위한 브래킷 장치를 예시한다. 도 5c는 측면 주입 가스 공급 시스템 (50) 을 예시하고, 이 측면 주입 가스 공급 시스템 (50) 은 공통 가스 공급 피드 (50a), 2개의 동일한 길이의 1차 가스 라인 (50b), 2개의 동일한 길이의 2차 가스 라인 (50c), 4개의 동일한 길이의 3차 가스 라인 (50d) 및 8개의 연결부 (50e) 를 포함하고 이 8개의 연결부 (50e) 는 튜닝 가스를 8개의 측면 주입기 위치들로 전달한다. 도 5d는 가스 주입 시스템 (50) (여기서 튜닝 가스는 공통 피드 (50a)로부터 각각의 측면 주입기까지 동일한 거리를 이동한다) 이 최상부 체임버 인터페이스 (15) 의 외부 표면에 있는 환형의 리세스에 의해 정의되는 작은 체적내에 어떻게 끼워 맞추어지는 지를 나타낸다. 도 5e는 공통 가스 피드 (50a) 와 2개의 1차 가스 라인 (50b) 을 상세히 나타내고, 이 2개의 가스 라인 (50b) 은 연결부 (50f) 에서 종결된다. 도 5f는 가스 연결부 (50g) (이는 가스 연결부 (50f) 에 연결된다) 에 의해 2 분지로 나뉘는 2차 가스 라인 (50c) 들 중의 하나를 상세히 나타내고 2차 가스 라인들 (50c) 의 단부에 연결되는 연결부 (50h) 에 의해 2 분지로 나뉘는 2개의 3차 가스 라인 (50d) 을 상세히 나타낸다. 도 5g는 최상부 체임버 인터페이스 (15) 의 측벽에 탑재된 측면 가스 주입기 (50i) 를 상세히 나타낸다. 도 5h는 최상부 체임버 인터페이스 (15) 의 측벽에 있는 환형 리세스 내에 1차 가스 라인 (50b) 이 어떻게 끼워 맞추어지는지를 상세히 나타낸다. 도 5i는 가스 주입 시스템이 가스를 측면 주입기 (50i) 들 중 하나에 어떻게 전달하는지를 상세히 나타낸다. 도 5j는 측면 가스 주입기 (50i) 를 나타내고 도 5k는 워셔 (washer) (50j) 를 나타내고 이 워셔 (50j) 는 측면 가스 주입기 (50i) 의 표면과, 최상부 체임버 인터페이스 (15) 의 측면 주입 포트 (15e) 에 있는 대향 표면 사이에 끼워 맞추어진다.
바람직한 실시 형태에서, 최상부 체임버 인터페이스는 경성의 양극처리된 알루미늄 실린더이고 이 알루미늄 실린더는 프로세스 지원 하드웨어 (RF 입력 코일, 온도 제어형 윈도우, 배열 피처, 체임버 온도 제어 하드웨어, 측면 가스 주입기, 가스 전달 배관 등) 의 탑재, 진공 밀봉, 그리고 전류를 부품 외부로 전도하기 위한 피처들을 갖는다. 바람직하게는 진공 실 (vacuum seal) 은 실린더의 최상부 및 바닥부에 있는 하나 이상의 O-링들이다. 바람직하게는 전기 전도는 금속 스프링 RF 가스켓을 사용하여 확립되고 이 RF 가스켓은 실린더 상의 홈부에 끼워 맞추어지고 인접 구성요소 상의 베어 금속 스트립 (bare metal strip) 에 접촉하게 된다. 실린더의 상부 부분에서의 중량 및 열질량부를 증가시켜 원하는 온도 균일성을 이루게 된다. 내부 플라즈마에 의해 노출된 표면은 플라즈마 분무 이트륨 산화물과 같은 세라믹 재료들로 코팅될 수 있다.
도 6a는 8개의 측면 가스 주입기 (50i) 들 중에서 하나의 근위단 (proximal end) 의 단면도를 나타내고, 도 6b는 도 6a의 라인 A-A을 따라 취해진 주입기 (50i) 의 단면도를 나타낸다. 주입기 (50i) 는 주입기 몸체 (60) 를 포함하고, 이 주입기 몸체 (60) 는 주입기 몸체 (60) 의 근위단 표면 및 원위단 (distal end) 표면을 통해 축방향으로 연장되는 0.038 내지 0.042인치, 바람직하게는 0.040인치의 균일한 직경의 중앙 구멍 (61) 을 갖는다. 주입기 몸체 (60) 는 전체 길이가 0.52 내지 0.53, 바람직하게는 0.526인치이고, 0.275 내지 0.285, 바람직하게는 0.278인치 연장되는 상류부 (62) 에서의 외경은 0.2 내지 0.3, 바람직하게는 0.25인치이고, 0.245 내지 0.250, 바람직하게는 0.248인치의 길이를 갖는 하류부 (63) 에서의 외경은 0.12 내지 0.13, 바람직하게는 0.125인치이다. 중앙 구멍은 적어도 10, 바람직하게는 13 내지 14의 종횡비를 갖는다. 이 종횡비의 구멍은 주입기로의 역확산을 제어하여 스테인레스 강 가스 피드 라인의 부식을 방지할 수 있다. 하류부 (63) 의 원위단 (64) 은 0.005 내지 0.015, 바람직하게는 0.01인치 폭의 환형 표면 (65) 을 형성하는 45° (±10°) 챔퍼를 포함한다. 하류부 (63) 의 외부 표면과, 상류부 및 하류부의 외부 표면들 사이에서 이 상류부 및 하류부의 외부 표면들과 수직하게 연장되는 표면 (67) 사이의 코너 (66) 는 0.005 내지 0.015, 바람직하게는 0.01인치의 반경을 갖도록 둥글게 만들어진다. 표면 (67) 은 도 5k에 도시된 워셔 (50j) 에 대해 지탱한다. 상류부 (62) 의 근위단 표면 (68) 은 도 5i에 도시된 연결부 (50e) 의 출구를 둘러싸는 또 다른 워셔에 대해 지탱한다. 도 5i에 도시된 바로부터 알 수 있듯이, 주입기 (50i) 의 원위단은 측벽을 넘어 돌출된다. 바람직하게는 주입기 (50i) 의 원위단은 0.05 내지 0.2, 보다 바람직하게는 약 0.1인치 체임버로 연장된다.
바람직한 실시 형태에 따르면, 윈도우는 세라믹 가스 주입기와 인터페이싱하는 중심에 구멍이 구비된 세라믹 디스크이다. 이는 또한 약 0.008인치의 융기된 랜딩 패드 (raised landing pad) 를 갖고 이 랜딩 패드는 최상부 체임버 인터페이스와 인터페이싱하는 바닥부 외경 (OD) 상의 외주로부터 약 0.5인치 연장된다. 윈도우와 최상부 체임버 인터페이스 사이의 인터페이스에는 O-링 실이 존재한다. 세라믹 디스크는 약 1인치 두께이고 알루미나와 같은 저손실 탄젠트 고순도 세라믹 재료들로부터 제조되며 플라즈마 저항을 위해 이트륨 산화물로 바닥부의 리세스된 표면상에 코팅된다. 디스크는 최상부 표면상에 2개의 블라인드 구멍을 갖고 이들 블라인드 구멍은 열전쌍 (TC) 과 저항 온도 검출기 (RTD) 를 수용한다. TC 및 RTD의 위치 및 깊이는 원하는 처리 온도 모니터링을 이루고 윈도우에 대한 손상을 피하도록 선택된다. TC 및 RTD 홀들의 바닥부는 홀의 응력 집중을 감소시키도록 하는 구형 반경 (spherical radius) 을 갖는다.
최상부 체임버 인터페이스와 윈도우 사이의 접촉 영역은 이들 2개 구성요소들 사이에서 전달되는 열량을 결정한다. 플라즈마 처리 동안에는, 윈도우의 중심가 뜨거워서, 접촉 영역이 열을 윈도우의 가장자리로 전도하여 OD의 온도를 중심의 온도에 가깝게 하는 것을 돕는 것이 바람직하다. 아이들 시에는 (체임버 내에 플라즈마가 발생되지 않을 때), 윈도우의 중심가 차가워서, 접촉 영역이 열을 윈도우로 전도하지 않고 윈도우의 중심의 온도와 매칭하게 된다.
TC 및 RTD의 깊이는 윈도우의 중립 축 (무 응력 라인, 이는 인장 응력과 압축 응력을 나눈다) 이 어디에 있는 지를 결정하고, 홀의 바닥부를 그 축 위에 유지함으로써 설정된다. 또한 분석에 의해 측정 지점과 관심 지점에서 온도 차이가 얼마인지를 결정할 수 있다. 이 차이는 분석을 수행하는데 사용되는 소프트웨어에서 계산될 수 있다.
TC 및 RTD의 위치는 소프트웨어에서 오프셋이 계산될 필요가 있는지를 결정하도록 분석된다. 윈도우의 응력은 윈도우의 중심와 OD 사이의 온도차와 상관될 수 있다. 이 분석은 윈도우의 중심의 온도와 측정 지점의 온도를 상관시키는데, 왜냐하면 윈도우의 중심의 온도가 최상부 가스 주입기의 존재로 인해 측정될 수 없기 때문이다.
홀의 바닥부가 구형 반경을 갖지 않으면, 홀들이 위치된 윈도우는 높은 응력 집중을 받게될 수 있다. 윈도우의 내부가 체임버 내 진공 압력을 받기 때문에, 윈도우는 홀들의 바닥부가 둥글게 만들어지지 않으면 윈도우의 중심으로부터 에지까지 열구배가 생길 때 윈도우가 파괴될 수 있다. 홀의 바닥부에 구형 반경을 추가하면 뾰족한 에지가 제거되어서 응력 및 파괴 가능성이 감소된다.
세라믹 윈도우는 교체, 수리, 유지보수될 수 있고 용이하게 제조가능하다. 바람직하게는 윈도우는 두께가 약 1 인치이고 직경이 22인치이다. TC 및 RTD를 위한 구멍의 바람직한 치수들은 이트륨 코팅 표면으로부터 0.494±0.009인치이고 구멍은 윈도우의 중심으로부터 약 5.6인치의 반경 상에서 180°떨어져 있다. 구멍들은 약 0.5인치의 전체 깊이를 갖고 구멍 (16b) 의 입구는 구멍의 입구에서 0.390인치의 직경을 갖고 45°로 테이퍼링 된다. 구멍의 바닥부는 약 0.130인치의 직경을 갖는다.
측면 주입 시스템은 튜닝 가스를 전달할 수 있고 이 시스템은 제거가능형, 수리가능형, 유지보수가능형, 제조가능형, 누출 방지형, 플라즈마 저항형, 대칭 공급 8포트형 가스 주입 시스템으로 설계된다. 이 가스 주입 시스템은 20℃-120℃의 온도 범위에서 작동할 수 있고 1/4인치 스테인레스 강 배관 및 맞춤 설계의, 저-프로파일의 진공 밀봉 인터페이스를 사용한다. 측면 가스 주입 시스템에 있는 거의 모든 표면은 폭이 0.750인치이고 높이가 1.612인치인 직사각형 단면을 갖는 공간 내에 끼워 맞추어지고, 동시에, 거의 모든 표면은 22.5인치의 직경을 벗어나 연장되지 않는다.
측면 가스 주입 시스템의 기능은 튜닝 가스를 플라즈마에 일정하게 제공하는 것이다. 측면 가스 주입 시스템의 흐름 속도 (flow rate) 및 흐름 균일도 (flow uniformity) 는 주로, 고체 이트륨 산화물로 구성된 8개 측면 가스 주입기의 내부 구멍 직경, 가스 전달 시스템의 전체 체적, 및 단수의 가스 피드 위치로부터 모든 주입기 위치까지의 대칭적 경로의 함수이다. 가스 주입 시스템의 치수들은, 각각의 고체 이트륨 주입기의 내부 구멍 직경:0.040±0.002인치, VCR 피팅 (VCR fitting) 으로부터의 가스 주입 시스템의 체적 (공통 피드 (50a) 의 상류 단부의 가스 피팅) : 2.922 입방인치, VCR 피팅으로부터의 각가의 주입기로의 가스 이동 경로 길이: 37.426인치일 수 있다.
진공 피팅 인터페이스는, 예를 들면, K1S 피팅 보다 작고, 플라즈마에 직접 노출되는 시스템의 유일한 부분인, 이트륨 산화물 측면 가스 주입기는 크기가 최소화될 수 있고 훨씬 더 비싼 스테인레스 강 용접물을 교체할 필요 없이 소모시 교체될 수 있다.
최상부 체임버 인터페이스는 양극처리 및 세라믹-코팅된 알루미늄 실린더이며 이 알루미늄 실린더는 최상부와 바닥부상에 O-링 실이 구비되고 세라믹 윈도우와 맞물리는 최상부 접촉 표면이 구비된다. 최상부 체임버는 적극적으로 가열되고 재현가능한 웨이퍼 처리를 위해 일정한 온도로 유지된다.
윈도우 에지의 온도는 대개 최상부 체임버에 대한 인터페이스에 의해 제어된다. 체임버 인터페이스는 대개 윈도우의 에지로 들어가거나 이로부터 제거되는 열 량을 제어한다. 따라서, 에지를 아이들 상태 동안은 차갑게 유지하고 웨이퍼를 처리하는 동안은 뜨겁게 유지할 목적으로, 아이들 상태 또는 처리하는 동안은 온도 구배를 작게 유지하여 인터페이스를 가로질러 최적의 열 흐름이 제공되게 하는 것이 바람직하다. 아이들 상태 동안 너무 많은 열이 흐르면 에지가 너무 뜨겁게 되어 윈도우가 파괴된다. 웨이퍼를 처리하는 동안 너무 적은 열이 흐르게 되면 에지가 너무 차갑게 되어 파괴에 이르게 된다. 대개 인터페이스를 가로지르는 열 흐름을 제어하는 인터페이스의 피처는 공기중 윈도우에 대한 체임버의 접촉 표면 영역이다. 공기중 접촉 영역은 O-링 홈부의 외경으로부터 체임버의 외경까지이고 여기서 윈도우가 체임버와 접촉한다. 이들 치수들은 아이들 상태 및 웨이퍼 처리 상태 동안의 열 흐름에 대한 분석 및 시험에 의해 최적화될 수 있다.
부가적으로, 인터페이스의 평탄도는 열 전달에 중요하고 유지되야만 한다. 다양한 원하는 치수들은 외부의 O-링 홈부 직경 Φ1=21.290±0.007인치, 체임버 접촉 영역 외부 직경 Φ2=22.00±0.005인치, 체임버 접촉 영역 평탄도 0.002인치를 포함한다.
예시적인 실시 형태와 최적의 실시 형태를 개시하였지만, 다음의 특허청구범위에 의해 정의되는 본 고안의 요지 및 사상의 범위 내에서 라면 개시된 실시 형태에 다양한 수정 및 변형이 가능할 것이다.

Claims (8)

  1. 반도체 기판들이 처리될 수 있는 플라즈마 반응 체임버의 최상부 체임버 인터페이스의 측벽에 8개의 대칭 배열된 가스 주입기 탑재 홀들 중 어느 하나에 탑재되도록 구성되는 세라믹 측면 가스 주입기로서,
    상기 세라믹 측면 가스 주입기는 단차가 형성된 실린더형 세라믹 몸체로 이루어지고, 상기 단차가 형성된 실린더형 세라믹 몸체는 하류부보다 더 큰 직경의 상류부를 갖고 상기 주입기의 몸체의 축 단부 표면을 통해 축 방향으로 연장되는 균일한 직경의 중앙 구멍을 갖는, 세라믹 측면 가스 주입기.
  2. 제 1 항에 있어서,
    상기 중앙 구멍은 0.038 내지 0.042인치의 직경과 0.52 내지 0.53인치의 길이를 갖는, 세라믹 측면 가스 주입기.
  3. 제 1 항에 있어서,
    상기 세라믹 몸체는 전체가 이트륨 산화물로 이루어지는, 세라믹 측면 가스 주입기.
  4. 제 1 항에 있어서,
    상기 상류부는 상기 가스 주입기 탑재 홀의 보다 넓은 부분에 끼워 맞추어지는 크기를 갖고 상기 하류부는 상기 최상부 체임버 인터페이스의 상기 측벽의 플라즈마 노출 표면에 있는 개구에 끼워 맞추어지는 크기를 가져서, 상기 주입기의 원위단이 상기 플라즈마 노출 표면을 넘어 0.05 내지 0.2 인치 돌출되도록 하는, 세라믹 측면 가스 주입기.
  5. 제 1 항에 있어서,
    상기 세라믹 몸체는 상기 상류부의 상기 축 단부 표면 상에 제1 워셔 맞물림 표면을 포함하고 상기 상류부와 상기 하류부 사이에 수직으로 연장되는 제2 워셔 맞물림 표면을 포함하는, 세라믹 측면 가스 주입기.
  6. 제 1 항에 있어서,
    상기 중앙 구멍은 13 내지 14의 종횡비를 갖는, 세라믹 측면 가스 주입기.
  7. 제 1 항에 있어서,
    상기 주입기의 몸체는 0.52 내지 0.53인치의 길이를 갖고, 상기 상류부에서는 0.2 내지 0.3인치의 직경을 갖고, 상기 하류부에서는 0.12 내지 0.13인치의 직경을 갖는, 세라믹 측면 가스 주입기.
  8. 제 1 항에 있어서,
    상기 상류부는 0.27 내지 0.28인치의 길이를 갖고, 상기 하류부는 0.24 내지 0.25인치의 길이를 갖는, 세라믹 측면 가스 주입기.
KR2020147000054U 2009-09-10 2010-09-10 플라즈마 처리 장치의 교체가능한 상부 체임버 부품 KR200478069Y1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US24132109P 2009-09-10 2009-09-10
US61/241,321 2009-09-10
PCT/US2010/002473 WO2011031321A2 (en) 2009-09-10 2010-09-10 Replaceable upper chamber parts of plasma processing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR2020117000004U Division KR200479181Y1 (ko) 2009-09-10 2010-09-10 플라즈마 처리 장치의 교체가능한 상부 체임버 부품

Publications (2)

Publication Number Publication Date
KR20140006037U true KR20140006037U (ko) 2014-12-02
KR200478069Y1 KR200478069Y1 (ko) 2015-08-24

Family

ID=43646760

Family Applications (2)

Application Number Title Priority Date Filing Date
KR2020117000004U KR200479181Y1 (ko) 2009-09-10 2010-09-10 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
KR2020147000054U KR200478069Y1 (ko) 2009-09-10 2010-09-10 플라즈마 처리 장치의 교체가능한 상부 체임버 부품

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR2020117000004U KR200479181Y1 (ko) 2009-09-10 2010-09-10 플라즈마 처리 장치의 교체가능한 상부 체임버 부품

Country Status (8)

Country Link
US (2) US9076634B2 (ko)
JP (1) JP3178295U (ko)
KR (2) KR200479181Y1 (ko)
CN (2) CN203225233U (ko)
DE (1) DE212010000009U1 (ko)
MY (2) MY179709A (ko)
TW (1) TWM412453U (ko)
WO (1) WO2011031321A2 (ko)

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9076634B2 (en) * 2009-09-10 2015-07-07 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US20130014697A1 (en) * 2011-07-12 2013-01-17 Asm Japan K.K. Container Having Multiple Compartments Containing Liquid Material for Multiple Wafer-Processing Chambers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130105083A1 (en) * 2011-11-01 2013-05-02 Lam Research Corporation Systems Comprising Silicon Coated Gas Supply Conduits And Methods For Applying Coatings
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9437400B2 (en) * 2012-05-02 2016-09-06 Lam Research Corporation Insulated dielectric window assembly of an inductively coupled plasma processing apparatus
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102104018B1 (ko) 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
KR102130061B1 (ko) * 2013-03-15 2020-07-03 어플라이드 머티어리얼스, 인코포레이티드 매우 대칭적인 4-폴드 가스 주입부를 갖는 플라즈마 반응기
US9384948B2 (en) * 2013-06-13 2016-07-05 Lam Research Corporation Hammerhead TCP coil support for high RF power conductor etch systems
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
KR102381816B1 (ko) 2014-02-14 2022-04-04 어플라이드 머티어리얼스, 인코포레이티드 주입 어셈블리를 갖는 상부 돔
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR101598463B1 (ko) * 2014-04-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10883168B2 (en) 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR102452722B1 (ko) 2015-08-27 2022-10-06 삼성전자주식회사 기판 처리 장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
CN106711006B (zh) * 2015-11-13 2019-07-05 北京北方华创微电子装备有限公司 上电极组件及半导体加工设备
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102202946B1 (ko) * 2016-08-18 2021-01-15 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 플라즈마 챔버용 분리 그리드
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN107195526A (zh) * 2017-06-08 2017-09-22 上海华力微电子有限公司 一种减少机台的部件之间摩擦的方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3788115A1 (en) 2018-04-20 2021-03-10 The Procter & Gamble Company Adhesive composition for absorbent articles
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11019715B2 (en) * 2018-07-13 2021-05-25 Mks Instruments, Inc. Plasma source having a dielectric plasma chamber with improved plasma resistance
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
CN111564399B (zh) * 2020-05-25 2023-12-22 北京北方华创微电子装备有限公司 半导体工艺设备中的匀流机构及半导体工艺设备
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112509901B (zh) * 2020-11-19 2022-03-22 北京北方华创微电子装备有限公司 工艺腔室及半导体工艺设备
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220277933A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Company Limited Wafer treatment system and method of treating wafer
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5095189A (en) * 1990-09-26 1992-03-10 General Electric Company Method for reducing plasma constriction by intermediate injection of hydrogen in RF plasma gun
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5480678A (en) * 1994-11-16 1996-01-02 The B. F. Goodrich Company Apparatus for use with CVI/CVD processes
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
JP3591977B2 (ja) * 1996-03-18 2004-11-24 キヤノン株式会社 マイクロ波プラズマcvd法を用いた膜堆積方法および膜堆積装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
JP2923879B2 (ja) * 1997-02-06 1999-07-26 日本電気株式会社 プラズマ処理装置
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JP3483733B2 (ja) * 1997-06-04 2004-01-06 株式会社日立国際電気 半導体製造装置及び基板処理方法
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6074953A (en) 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6298784B1 (en) * 1999-10-27 2001-10-09 Talley Defense Systems, Inc. Heat transfer delay
KR100787848B1 (ko) 1999-11-15 2007-12-27 램 리써치 코포레이션 플라즈마 처리장치용 온도 제어시스템
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6325855B1 (en) * 2000-08-09 2001-12-04 Itt Manufacturing Enterprises, Inc. Gas collector for epitaxial reactors
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
IT1319599B1 (it) * 2000-12-20 2003-10-20 Rosaldo Fare Testa di melt-blown e procedimento ad alimentazione controllata per laproduzione di fibrille di materiale polimerico
DE10100670A1 (de) * 2001-01-09 2002-08-14 Univ Braunschweig Tech Zuführvorrichtung für eine CVD-Anlage
JP5000842B2 (ja) 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
JP4727057B2 (ja) * 2001-03-28 2011-07-20 忠弘 大見 プラズマ処理装置
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6776848B2 (en) * 2002-01-17 2004-08-17 Applied Materials, Inc. Motorized chamber lid
US7223321B1 (en) 2002-08-30 2007-05-29 Lam Research Corporation Faraday shield disposed within an inductively coupled plasma etching apparatus
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
KR100509231B1 (ko) * 2003-01-03 2005-08-22 주식회사 아이피에스 박막증착용 반응용기
US7273638B2 (en) * 2003-01-07 2007-09-25 International Business Machines Corp. High density plasma oxidation
US7001491B2 (en) * 2003-06-26 2006-02-21 Tokyo Electron Limited Vacuum-processing chamber-shield and multi-chamber pumping method
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US7625981B2 (en) * 2004-06-04 2009-12-01 Sumitomo Chemical Company, Limited Process for producing modified polymer rubber
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
KR101063737B1 (ko) * 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
US7749446B2 (en) * 2004-10-02 2010-07-06 Peterman Jr John William Optimized gas cell
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7571698B2 (en) * 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US20070029046A1 (en) * 2005-08-04 2007-02-08 Applied Materials, Inc. Methods and systems for increasing substrate temperature in plasma reactors
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
KR100917118B1 (ko) 2005-10-24 2009-09-11 주식회사 코미코 가스 인젝터 및 이를 갖는 반도체 소자의 제조 장치
US20070209781A1 (en) * 2006-03-10 2007-09-13 Qnx Cooling Systems Inc. Heat exchanger easy mount system
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
KR20080056428A (ko) 2006-12-18 2008-06-23 세메스 주식회사 가스 공급 장치 및 이를 포함하는 막 형성 장치
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR20080073001A (ko) 2007-02-05 2008-08-08 삼성전자주식회사 반도체 디바이스 제조설비의 가스 링
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
JP4486135B2 (ja) 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
US8096116B2 (en) 2008-01-22 2012-01-17 Ocean Power Technologies, Inc. Mooring of multiple arrays of buoy-like WECs
KR100963291B1 (ko) * 2008-02-22 2010-06-11 주식회사 유진테크 기판처리장치 및 기판처리방법
US8236133B2 (en) * 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
JP2010062318A (ja) * 2008-09-03 2010-03-18 Tokyo Electron Ltd ガス供給部材およびプラズマ処理装置
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US9076634B2 (en) * 2009-09-10 2015-07-07 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
KR101095172B1 (ko) * 2009-10-01 2011-12-16 주식회사 디엠에스 플라즈마 반응 챔버의 사이드 가스 인젝터
JP2012004196A (ja) * 2010-06-15 2012-01-05 Tokyo Electron Ltd プラズマ処理装置及びその処理ガス供給構造
DE102010056021B3 (de) * 2010-12-23 2012-04-19 Centrotherm Sitec Gmbh Düsenanordnung und CVD-Reaktor
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US9437400B2 (en) * 2012-05-02 2016-09-06 Lam Research Corporation Insulated dielectric window assembly of an inductively coupled plasma processing apparatus
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
JP6504017B2 (ja) * 2015-10-21 2019-04-24 東京エレクトロン株式会社 基板処理装置

Also Published As

Publication number Publication date
KR20120004158U (ko) 2012-06-12
TWM412453U (en) 2011-09-21
US20110056626A1 (en) 2011-03-10
WO2011031321A3 (en) 2011-06-23
US20150279621A1 (en) 2015-10-01
JP3178295U (ja) 2012-09-13
KR200479181Y1 (ko) 2015-12-30
WO2011031321A2 (en) 2011-03-17
US10074521B2 (en) 2018-09-11
US9076634B2 (en) 2015-07-07
DE212010000009U1 (de) 2011-05-26
MY179709A (en) 2020-11-11
CN202855717U (zh) 2013-04-03
KR200478069Y1 (ko) 2015-08-24
CN203225233U (zh) 2013-10-02
MY165356A (en) 2018-03-21

Similar Documents

Publication Publication Date Title
KR200478069Y1 (ko) 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
KR102383357B1 (ko) 배치대 및 기판 처리 장치
TWI686503B (zh) 具有減少的背側電漿點火的噴淋頭
KR102073941B1 (ko) 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US7850174B2 (en) Plasma processing apparatus and focus ring
EP1046729B1 (en) CVD processing chamber
US20190371577A1 (en) Extreme uniformity heated substrate support assembly
US9437400B2 (en) Insulated dielectric window assembly of an inductively coupled plasma processing apparatus
JPH10149899A (ja) 円錐形ドームを有する誘電結合平行平板型プラズマリアクター
JP2000216140A (ja) ウエハステ―ジおよびウエハ処理装置
US9613834B2 (en) Replaceable upper chamber section of plasma processing apparatus
JP2010010231A (ja) プラズマ処理装置
US10727092B2 (en) Heated substrate support ring
CN110352482A (zh) 基板载置台及其电浆处理装置以及电浆处理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
REGI Registration of establishment
FPAY Annual fee payment

Payment date: 20180807

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190806

Year of fee payment: 5