KR20120083842A - 유리 기판 유지 수단, 및 그것을 사용한 euv 마스크 블랭크의 제조 방법 - Google Patents

유리 기판 유지 수단, 및 그것을 사용한 euv 마스크 블랭크의 제조 방법 Download PDF

Info

Publication number
KR20120083842A
KR20120083842A KR1020110135582A KR20110135582A KR20120083842A KR 20120083842 A KR20120083842 A KR 20120083842A KR 1020110135582 A KR1020110135582 A KR 1020110135582A KR 20110135582 A KR20110135582 A KR 20110135582A KR 20120083842 A KR20120083842 A KR 20120083842A
Authority
KR
South Korea
Prior art keywords
glass substrate
film
holding means
forming
chuck mechanism
Prior art date
Application number
KR1020110135582A
Other languages
English (en)
Inventor
다카히로 미츠모리
다케루 기노시타
히로토시 이세
Original Assignee
아사히 가라스 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아사히 가라스 가부시키가이샤 filed Critical 아사히 가라스 가부시키가이샤
Publication of KR20120083842A publication Critical patent/KR20120083842A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/60Substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S269/00Work holders
    • Y10S269/90Supporting structure having work holder receiving apertures or projections
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S269/00Work holders
    • Y10S269/903Work holder for electrical circuit assemblages or wiring systems

Abstract

과제
EUV 마스크 블랭크의 제조시에 있어서, 유리 기판의 위치 어긋남이나 탈리가 발생되지 않고, 또한, 유지에 의한 유리 기판에 대한 흠집의 발생이나 이물질의 부착을 억제할 수 있는 유리 기판 유지 수단, 및 그것을 사용한 EUV 마스크 블랭크 혹은 EUV 마스크 블랭크용의 기능막이 부착된 기판의 제조 방법의 제공.
해결 수단
EUVL 용 반사형 마스크 블랭크의 제조시에 사용되는 유리 기판의 유지 수단으로서, 상기 유리 기판의 유지 수단은, 유리 기판의 이면의 일부를 흡착 유지하는 정전 척 기구와 가압부를 갖고, 그 가압부로 상기 유리 기판의 성막면측의 일부를 가압함으로써, 상기 유리 기판을 성막면측 및 이면측으로부터 협지하여 유지하는 기계적 척 기구를 갖고, 상기 정전 척 기구에 의한 상기 유리 기판의 피흡착 유지부, 및 상기 기계적 척 기구에 의한 상기 유리 기판의 피가압부가, 각각 상기 유리 기판의 성막면 및 이면의 품질 보증 영역보다 외측에 있고, 상기 정전 척 기구에 의한 상기 유리 기판의 흡착 유지력과, 상기 기계적 척 기구에 의한 상기 유리 기판의 유지력의 합이, 200 kgf 이상이고, 상기 기계적 척 기구에 의한 상기 유리 기판의 단위 면적당의 가압력이, 25 kgf/㎠ 이하인 것을 특징으로 하는 유리 기판 유지 수단.

Description

유리 기판 유지 수단, 및 그것을 사용한 EUV 마스크 블랭크의 제조 방법{GLASS SUBSTRATES HOLDING MEANS AND METHOD FOR PRODUCING EUV MASK BLANK USING THE SAME}
본 발명은, 유리 기판 유지 수단에 관한 것이다. 본 발명의 유리 기판 유지 수단은, 반도체 제조 등에 사용되는 EUV (Extreme Ultraviolet : 극단 자외) 리소그래피용 반사형 마스크 블랭크 (이하, 본 명세서에 있어서, 「EUV 마스크 블랭크」라고 함), 혹은 그 EUV 마스크 블랭크용의 기능막이 부착된 기판의 제조시에 유리 기판을 유지하는데 바람직하다.
또한, 본 발명은, 본 발명의 유리 기판 유지 수단을 사용한 EUV 마스크 블랭크 혹은 EUV 마스크 블랭크용의 기능막이 부착된 기판의 제조 방법에 관한 것이다.
종래, 반도체 산업에 있어서, 실리콘 기판 등에 미세한 패턴으로 이루어지는 집적 회로를 형성하는데 있어서 필요한 미세 패턴의 전사 기술로서, 가시광이나 자외광을 사용한 포토리소그래피법이 사용되어 왔다. 그러나, 반도체 디바이스의 미세화가 가속되고 있는 한편으로, 종래의 포토리소그래피법의 한계에 가까워져 왔다. 포토리소그래피법의 경우, 패턴의 해상 한계는 노광 파장의 1/2 정도이고, 액침법을 사용해도 노광 파장의 1/4 정도라고 되어 있어, ArF 레이저 (193 ㎚) 의 액침법을 사용해도 45 ㎚ 정도가 한계라고 예상된다. 그래서 45 ㎚ 이후의 노광 기술로서, ArF 레이저 보다 더욱 단파장의 EUV 광을 사용한 노광 기술인 EUV 리소그래피가 유망시되고 있다. 본 명세서에 있어서, EUV 광이란, 연 X 선 영역 또는 진공 자외선 영역의 파장의 광선을 가리키고, 구체적으로는 파장 10 ? 20 ㎚ 정도, 특히 13.5 ㎚ ± 0.3 ㎚ 정도의 광선을 가리킨다.
EUV 광은, 모든 물질에 대해 흡수되기 쉽고, 또한 이 파장에서 물질의 굴절률이 1 에 가깝기 때문에, 종래의 가시광 또는 자외광을 사용한 포토리소그래피와 같은 굴절 광학계를 사용할 수 없다. 이 때문에, EUV 광 리소그래피에서는, 반사 광학계, 즉 반사형 포토마스크와 미러가 사용된다.
마스크 블랭크는, 포토마스크 제조에 사용되는 패터닝 전의 적층체이다. EUV 마스크 블랭크의 경우, 유리제 등의 기판 상에 EUV 광을 반사하는 반사층과, EUV 광을 흡수하는 흡수체층이 이 순서대로 형성된 구조를 갖고 있다. 반사층으로는, 고굴절층인 몰리브덴 (Mo) 층과 저굴절층인 규소 (Si) 층을 교대로 적층함으로써, EUV 광을 층 표면에 조사했을 때의 광선 반사율이 높여진 Mo/Si 다층 반사막이 통상적으로 사용된다.
흡수층에는, EUV 광에 대한 흡수 계수가 높은 재료, 구체적으로는 예를 들어, 크롬 (Cr) 이나 탄탈 (Ta) 을 주성분으로 하는 재료가 사용된다.
다층 반사막 및 흡수층은, 이온 빔 스퍼터링법이나 마그네트론 스퍼터링법을 사용하여 유리 기판의 광학면 상에 성막된다. 다층 반사막 및 흡수층을 성막할 때, 유리 기판은 유지 수단에 의해 유지된다. 유리 기판의 유지 수단으로서, 기계적 척 및 정전 척이 있지만, 발진성의 문제로부터, 다층 반사막 및 흡수층을 성막할 때의 유리 기판의 유지 수단, 특히 다층 반사막을 성막할 때의 유리 기판의 유지 수단으로는, 정전 척에 의한 흡착 유지가 바람직하게 사용된다.
정전 척은, 반도체 장치의 제조 프로세스에 있어서, 실리콘 웨이퍼의 흡착 유지에 종래 사용되고 있는 기술이고, 평면 형상이 원형, 직사각형 등의 형상을 한 흡착 유지면에 실리콘 웨이퍼의 중심부를 접촉시켜, 정전 척의 전극부에 전압을 인가함으로써 발생되는 정전 인력에 의해 유지하는 것이다.
유리 기판의 흡착 유지에 사용하는 경우에도, 전극부의 형상이 복잡해지지 않고, 충분한 유지력을 발휘할 수 있고, 흡착 유지된 유리 기판이 경사지지 않는 등의 이유로부터, 유리 기판의 중심부, 구체적으로는, EUV 마스크 블랭크의 제조시에 다층 반사막이나 흡수층이 형성되는 유리 기판의 성막면에 대한 이면의 중심부를 정전 척의 흡착 유지면과 접촉시켜 유지하게 된다. 유리 기판의 중심부를 흡착 유지하는 것은, 장치 설계의 간편함이나, 실리콘 웨이퍼의 흡착 유지에 널리 사용되고 있는 정전 척을 유용 (流用) 함으로써 비용 절감을 도모할 수 있다는 점에서도 바람직하다고 종래에는 생각되고 있었다.
이하, 본 명세서에 있어서, EUV 마스크 블랭크의 제조시에 다층 반사막이나 흡수층이 형성되는 유리 기판의 면을 유리 기판의 「성막면」이라고 하고, 그 성막면에 대한 이면을 유리 기판의 「이면」이라고 한다.
그러나, 유리 기판의 이면의 중심부를 정전 척의 흡착 유지면과 접촉시켜 유지했을 경우, 그 이면의 중심부에 이물질이 부착되거나 흠집이 발생할 우려가 있다. EUV 마스크 블랭크의 제조에 사용되는 유리 기판의 경우, 이면이어도 그 중심부는 통상적으로, 품질 보증 영역으로서 노광기 등의 장치별로 지정되고, 이물질의 부착이나 흠집이 존재하지 않을 것이 요구되는 부위이다. 그러므로 그 이면의 중심부에 대한 이물질의 부착이나 흠집의 발생은 중대한 문제가 될 수 있다.
이면의 중심부에 대한 이물질의 부착이나 흠집의 발생을 방지하기 위해서는, 품질 보증 영역을 제외한 이면의 외측 가장자리부를 정전 척의 흡착 유지면과 접촉시켜 유지하면 되는 것으로 언뜻 생각된다.
그러나, 이면의 외측 가장자리부를 정전 척의 흡착 유지면과 접촉시켜 유지했을 경우, 정전 척의 흡착 유지면과 접촉되는 부위 (이하, 본 명세서에 있어서, 유리 기판의 「피흡착 유지부」라고도 함) 의 표면적이 작아지기 때문에, 유리 기판을 유지하는데 충분한 크기의 흡착 유지력을 발휘시켰을 경우, 피흡착 유지부에 대한 단위 면적당의 압력이 높아져, 그 피흡착 유지부에서의 흠집의 발생이나 그것에 따른 이물질의 발생이 문제가 될 우려가 있다. 또한, 그 피흡착 유지부에 발생되는 정전장에 의해, 대전된 이물질이 다량으로 끌어당겨질 우려가 있다.
피흡착 유지부는 이면의 외측 가장자리부에 존재하기 때문에, 흠집이나 이물질의 발생에 의한 영향은 이면의 품질 보증 영역에서 동일한 문제가 발생했을 경우에 비하면 작지만, 피흡착 유지부에서 발생한 이물질이나, 그 피흡착 유지부에 끌어당겨진 이물질의 일부가 이면의 품질 보증 영역에 전사될 우려가 있다. 또한, 피흡착 유지부에 흠집이 생기면, 유리 기판에 제조한 마스크 블랭크의 후공정시에, 유리 기판의 유지력이 저하될 우려가 있다. 즉, EUV 마스크 블랭크로부터 반사형 마스크를 제작할 때의 마스크 패터닝 프로세스시나, EUV 리소그래피에서의 노광시의 반사형 마스크의 핸들링시에도, 유리 기판의 유지 수단으로서, 정전 척에 의한 흡착 유지가 사용되는데, 피흡착 유지부에 단차를 이루는 흠집이 존재하면, 그 피흡착 유지부에 평탄성이 저하되어, 정전 척에 의한 흡착 유지력이 저하될 우려가 있다.
한편, 피흡착 유지부에서의 흠집의 발생이나, 그 피흡착 유지부에 다량의 이물질이 끌어당겨지는 것을 방지하기 위해, 정전 척에 의한 흡착 유지력을 작게 했을 경우, 유리 기판의 흡착 유지력이 불충분해져, EUV 마스크 블랭크의 제조시에 유리 기판의 위치 어긋남이나 탈리가 일어날 우려가 있다.
이상에서는, 정전 척에 의한 유리 기판의 흡착 유지에 대해 서술했지만, 유리 기판의 외측 가장자리부를 물리적으로 유지하고, 기계적 척 기구에 의해 유지하는 수단도 존재한다.
특허문헌 1, 2 에는, 마스크 블랭크의 제조시에 있어서, 기계적 클램프 수단 (특허문헌 1) 이나 유리 기판 가압 수단 (특허문헌 2) 으로 유리 기판의 외측 가장자리부를 유지하는 것이 개시되어 있다.
이들 수단을 사용한 경우, 이들 수단과 유리 기판이 접하는 부위의 표면적이 작기 때문에, 유리 기판을 유지하는데 충분한 크기의 유지력을 발휘시켰을 경우, 유리 기판의 피유지 부위에 대한 단위 면적당의 압력이 높아져, 정전 척의 경우와 동일하게, 유리 기판의 피유지부에서의 흠집의 발생이나 그것에 따른 이물질의 발생이 문제가 될 우려가 있다.
일본 공개특허공보 2006-49910호 일본 공개특허공보 2005-77845호
본 발명은, 상기한 종래 기술의 문제점을 해결하기 위해, EUV 마스크 블랭크의 제조시에 있어서, 유리 기판의 위치 어긋남이나 탈리가 발생되지 않고, 또한, 유지에 의한 유리 기판에 대한 흠집의 발생이나 이물질의 부착을 억제할 수 있는 유리 기판 유지 수단, 및 그것을 사용한 EUV 마스크 블랭크 혹은 EUV 마스크 블랭크용의 기능막이 부착된 기판의 제조 방법을 제공하는 것을 목적으로 한다.
본 발명은, EUV 리소그래피 (EUVL) 용 반사형 마스크 블랭크의 제조시에 사용되는 유리 기판의 유지 수단으로서,
상기 유리 기판의 유지 수단은,
유리 기판의 이면의 일부를 흡착 유지하는 정전 척 기구와,
가압부를 갖고, 그 가압부로 상기 유리 기판의 성막면측의 일부를 가압함으로써, 상기 유리 기판을 성막면측 및 이면측으로부터 협지하여 유지하는 기계적 척 기구를 갖고,
상기 정전 척 기구에 의한 상기 유리 기판의 피흡착 유지부, 및 상기 기계적 척 기구에 의한 상기 유리 기판의 피가압부가, 각각 상기 유리 기판의 성막면 및 이면의 품질 보증 영역보다 외측에 있고,
상기 정전 척 기구에 의한 상기 유리 기판의 흡착 유지력과, 상기 기계적 척 기구에 의한 상기 유리 기판의 유지력의 합이, 200 kgf 이상이고,
상기 기계적 척 기구에 의한 상기 유리 기판의 단위 면적당의 가압력이, 25 kgf/㎟ 이하인 것을 특징으로 하는 유리 기판 유지 수단을 제공한다.
본 발명의 유리 기판 유지 수단에 있어서, 상기 가압부의 가압면과 상기 유리 기판의 성막면이 이루는 각도가 5 ? 70°인 것이 바람직하다.
본 발명의 유리 기판 유지 수단에 있어서, 상기 기계적 척 기구의 상기 가압부는, 상기 유리 기판보다 경도가 낮은 재료로 제작되어 있는 것이 바람직하다.
본 발명의 유리 기판 유지 수단은, 평면 형상이 직사각형인 유리 기판을 유지하는 수단으로서, 상기 기계적 척 기구에 의한 상기 유리 기판의 피가압부가 2 지점 이상 존재하고, 그 피가압부 중 적어도 2 개가 각각, 유리 기판의 성막면의 외측 가장자리를 이루는 4 변 중 대향하는 2 변을 포함하는 위치, 혹은, 그 2 변에 근접하는 위치에 존재하는 것이 바람직하다.
본 발명의 유리 기판 유지 수단은, 평면 형상이 직사각형인 유리 기판을 유지하는 수단으로서, 또한 하기를 만족하는 기판의 위치 결정 수단을 갖는 것이 바람직하다.
(1) 상기 위치 결정 수단은, 상기 유리 기판의 측면 및 바닥면에 대해 경사진 가이드면 혹은 가이드 만곡면을 갖고, 상기 유리 기판 유지 수단에 유리 기판을 재치할 때에, 그 가이드면 혹은 가이드 만곡면이, 상기 유리 기판의 측면과 바닥면의 경계를 이루는 변과 맞닿는다.
(2) 상기 위치 결정 수단은, 기판의 측면과 바닥면의 경계를 이루는 4 변 중, 직교하는 2 변에 대해 적어도 1 개씩 형성되어 있다.
(3) 상기 위치 결정 수단의 가이드면 혹은 가이드 만곡면과, 상기 유리 기판의 측면과 바닥면의 경계를 이루는 변이 맞닿았을 때에, 그 가이드면 혹은 가이드 만곡면과 그 유리 기판의 바닥면이 이루는 각도가 5°이상 90° 미만이다.
여기서, 상기 직교하는 2 변에 대해 상기 위치 결정 수단이 1 개씩 형성되어 있는 경우,
상기 위치 결정 수단이 형성된 변의 길이를 L (㎜) 로 할 때, 상기 변 중, 상기 가이드면 혹은 가이드 만곡면과 맞닿는 부분의 단부와 상기 변의 단부의 거리가 0.1 L 이상인 것이 바람직하다.
본 발명의 유리 기판 유지 수단에 있어서, 상기 위치 결정 수단은, 상기 유리 기판보다 경도가 낮은 재료로 제작되어 있는 것이 바람직하다.
또한, 본 발명은, 유리 기판의 일방의 면에 EUV 광을 반사하는 반사층이 형성된 EUV 리소그래피용 (EUVL) 용 반사층이 부착된 기판의 제조 방법으로서,
건식 성막법에 의해, 상기 유리 기판 상에 상기 반사층을 형성할 때에, 본 발명의 유리 기판 유지 수단을 사용하여 유리 기판을 유지하는 것을 특징으로 하는 EUVL 용 반사층이 부착된 기판의 제조 방법을 제공한다.
또한, 본 발명은, 유리 기판의 일방의 면에 EUV 광을 반사하는 반사층, 및 EUV 광을 흡수하는 흡수층이 적어도 이 순서대로 형성된 EUV 리소그래피 (EUVL) 용 반사형 마스크 블랭크의 제조 방법으로서,
건식 성막법에 의해, 상기 유리 기판 상에 상기 반사층 및 상기 흡수층 중 적어도 1 개를 형성할 때에, 본 발명의 유리 기판 유지 수단을 사용하여 유리 기판을 유지하는 것을 특징으로 하는 EUVL 용 반사형 마스크 블랭크의 제조 방법을 제공한다.
본 발명의 EUVL 용 반사층이 부착된 기판의 제조 방법, 및 본 발명의 EUVL 용 반사형 마스크 블랭크의 제조 방법에 있어서, 상기 유리 기판 유지 수단이 상기 기판 위치 결정 수단을 갖고 있는 경우, 상기 유리 기판 유지 수단에 유리 기판을 재치할 때에, 그 가이드면 혹은 가이드 만곡면을 상기 유리 기판의 측면과 바닥면의 경계를 이루는 변과 맞닿게 함으로써, 상기 유리 기판 유지 수단과 상기 유리 기판의 위치 결정을 실시하는 것이 바람직하다.
본 발명에 의하면, EUV 마스크 블랭크의 제조시에 있어서, 유리 기판의 위치 어긋남이나 탈리가 발생되지 않고, 또한, 유지에 의한 유리 기판에 대한 흠집의 발생이나 이물질의 부착을 억제할 수 있다.
본 발명에 있어서, 기판 위치 결정 수단을 갖는 유리 기판 유지 수단을 사용함으로써, 유리 기판 유지 수단과 유리 기판의 위치 관계가 적절한 상태가 되도록 위치 결정할 수 있다.
도 1 은, 본 발명의 유리 기판 유지 수단의 1 실시형태를 모식적으로 나타낸 평면도이고, 그 유리 기판 유지 수단 상에는 유리 기판이 도시되어 있다.
도 2 의 (A) 는 도 1 중 A-A' 선을 따른 단면도이고, 도 2 의 (B) 는 도 1 중 B-B' 선을 따른 단면도이고, 각각 유리 기판의 반입시 또는 이탈시의 상태를 모식적으로 나타내고 있다.
도 3 의 (A) 는 도 1 중 A-A' 선을 따른 단면도이고, 도 3 의 (B) 는 도 1 중 B-B' 선을 따른 단면도이고, 각각 유리 기판의 유지시의 상태를 모식적으로 나타내고 있다.
도 4 의 (a) ? (e) 는, 도 1 중 B-B' 선을 따른 단면도이고, 유리 기판 유지 수단에 유리 기판을 반입하여, 유지하기까지의 순서를 나타내고 있다. 단, 이해를 용이하게 하기 위해, 마스크부와 그 마스크부에 장착된 기계적 척 기구의 가압부는 생략되어 있다.
이하, 도면을 참조하여 본 발명의 유리 기판 유지 수단을 설명한다.
도 1 은, 본 발명의 유리 기판 유지 수단의 1 실시형태를 모식적으로 나타낸 평면도이다. 도 2 의 (A) 및 도 3 의 (A) 는 도 1 중 A-A' 선을 따른 단면도이다. 도 2 의 (B) 및 도 3 의 (B) 는 도 1 중 B-B' 선을 따른 단면도이다. 이들 도면에서는, 본 발명의 유리 기판 유지 수단에 의해, 유리 기판을 어떻게 유지하는가라는 점을 명확하게 하기 위해, 유리 기판 유지 수단 상에는 유리 기판을 도시하고 있다. 또한, 도 2 의 (A), (B) 는 유리 기판의 반입시 또는 이탈시의 상태를 나타내고 있고, 도 3 의 (A), (B) 는 유리 기판의 유지시의 상태를 나타내고 있다.
본 발명의 유리 기판 유지 수단은, 정전 척 기구 및 기계적 척 기구를 갖고 있고, 이들 기구를 사용하여 유리 기판을 유지한다.
본 발명의 유리 기판 유지 수단 (100) 에서는, 정전 척 기구 (110) 에 의해 유리 기판 (200) 의 이면을 흡착 유지한다.
여기서, 정전 척 기구 (110) 에 의한 유리 기판 (200) 이면의 흡착 유지에만 주목했을 경우, 도 2 의 (A), (B) 에 나타내는 유리 기판 (200) 반입시의 상태로부터, 도 3 의 (A), (B) 에 나타내는 유리 기판 (200) 의 유지시의 상태로 이행하려면, 유리 기판 (200) 이면의 네 귀퉁이의 단부 부근을 유지하는 지지 핀 (140) 을 하강시켜, 유리 기판 (200) 의 이면을 정전 척 기구 (110) 의 흡착 유지부 (111) 의 상면 (흡착 유지면) 과 접촉시키면 된다.
상기 서술한 바와 같이, 종래의 정전 척에서는, 유리 기판의 중심부, 보다 구체적으로는, 유리 기판의 이면의 중심부를 정전 척의 흡착 유지면과 접촉시킴으로써, 유리 기판을 흡착 유지하고 있었다.
이에 대하여 본 발명의 유리 기판 유지 수단 (100) 에서는, 유리 기판 (200) 의 이면 중심부에 존재하는 품질 보증 영역이 아니라, 그 품질 보증 영역보다 외측의 부위 (이하, 본 명세서에 있어서, 「이면 외주부」라고 함) 를 정전 척 (110) 의 흡착 유지면 (흡착 유지부 (111) 의 상면) 과 접촉시킴으로써 유리 기판을 흡착 유지한다. 이 때문에, 도시한 유리 기판 유지 수단 (100) 의 정전 척 (110) 에서는, 정전 척 (110) 의 상면 중, 외주부에 볼록부가 형성되어 있고, 그 볼록부가 유리 기판 (200) 의 이면 외주부와 접촉하는 흡착 유지부 (111) 를 이룬다.
여기서, 유리 기판 (200) 의 이면의 품질 보증 영역은, 가로세로 152.4 ㎜ 의 유리 기판의 경우, 통상적으로 가로세로 144 ㎜ 의 영역이므로, 정전 척 (110) 상에 유리 기판 (200) 을 재치했을 때에, 그 가로세로 144 ㎜ 의 영역보다 외측의 부위와 접촉하도록, 흡착 유지부 (111) 를 이루는 볼록부를 형성하면 되고, 가로세로 146 ㎜ 의 영역보다 외측의 부위와 접촉하도록, 흡착 유지부 (111) 를 이루는 볼록부를 형성하는 것이 바람직하고, 가로세로 147 ㎜ 의 영역보다 외측의 부위와 접촉하도록, 흡착 유지부 (111) 를 이루는 볼록부를 형성하는 것이 보다 바람직하고, 가로세로 148 ㎜ 의 영역보다 외측의 부위와 접촉하도록, 흡착 유지부 (111) 를 이루는 볼록부를 형성하는 것이 더욱 바람직하다. 유리 기판의 이면의 품질 보증 영역이 가로세로 144 ㎜ 의 영역과는 상이한 경우에도, 상기의 동일한 생각으로 품질 보증 영역보다 외측의 부위, 바람직하게는 품질 보증 영역 + 가로세로 2 ㎜ 의 영역보다 외측의 부위, 보다 바람직하게는 품질 보증 영역 + 가로세로 3 ㎜ 의 영역보다 외측의 부위, 더욱 바람직하게는 품질 보증 영역 + 가로세로 4 ㎜ 의 영역보다 외측의 부위와 접촉하도록, 흡착 유지부 (111) 를 이루는 볼록부를 형성하면 된다.
도시한 정전 척 (110) 과 같이, 정전 척 (110) 의 상면 중 외주부에 흡착 유지부 (111) 를 이루는 볼록부를 형성하는 방법으로는, 정전 척의 최표층을 이루는 유전체층에 엠보싱 가공을 실시하는 방법이 있다.
도시한 정전 척 (110) 에서는, 정전 척 (110) 의 상면의 외주부를 따라 프레임 형상으로, 흡착 유지부 (111) 를 이루는 볼록부가 형성되어 있는데, 정전 척 상에 유리 기판을 재치했을 때에, 정전 척의 상면에 형성된 볼록부가 유리 기판의 이면 외주부와 접촉하는 한, 흡착 유지부를 이루는 볼록부의 정전 척에 있어서의 배치, 및 개개의 볼록부의 형상, 치수 등은 한정되지 않는다. 예를 들어, 정전 척의 상면의 외주부 중, 모서리부에만 흡착 유지부를 이루는 볼록부를 형성해도 되고, 그 반대로 모서리부 이외의 부분에만 흡착 유지부를 이루는 볼록부를 형성해도 된다. 또한, 이들 양태의 경우, 정전 척의 상면에 복수의 볼록부를 형성하게 되므로, 정전 척 상에 유리 기판을 재치했을 때에, 유리 기판을 수평으로 유지할 수 있도록, 정전 척 상에서의 볼록부의 배치에 유의할 필요가 있다.
정전 척 상에 유리 기판을 재치했을 때에, 그 정전 척의 상면에 형성된 볼록부만을 유리 기판의 이면과 접촉시키기 위해서는, 그 볼록부의 높이가 5 ㎛ 이상인 것이 바람직하고, 10 ㎛ 이상인 것이 보다 바람직하고, 15 ㎛ 이상인 것이 더욱 바람직하다. 볼록부의 높이가 5 ㎛ 미만인 경우, 흡착 유지력에 의한 볼록부의 변형에 의해, 유리 기판의 이면과 정전 척의 볼록부 이외의 부분이 접촉할 우려가 있다. 또한, 정전 척의 볼록부 이외의 부분에 존재하는 이물질이, 유리 기판의 이면과 접촉하여 유리 기판의 이면에 이물질이 전사될 우려가 있다.
단, 볼록부의 높이가 지나치게 크면, 정전 척에 의한 흡착 유지력이 저하될 우려가 있으므로, 볼록부의 높이가 100 ㎛ 이하인 것이 바람직하고, 50 ㎛ 이하인 것이 보다 바람직하고, 30 ㎛ 이하인 것이 더욱 바람직하다.
본 발명의 유리 기판 유지 수단에 있어서 정전 척 기구의 최표층을 이루는 유전체층은, 비도통성이고, 또한 유지력에 의한 변형이 적어 강성을 갖고, 내마모성이 우수한 재료로 제작되어 있는 것이 바람직하다. 그 한편으로, 흡착 유지시에 유리 기판에 흠집이 발생되는 것을 방지하기 위해서, 유리 기판보다 경도가 낮은 재료로 제작되어 있는 것이 바람직하다. 이와 같은 특성을 만족하는 재료로는, 아피칼 (등록 상표명, 카네카사 제조) 과 같은 폴리이미드 필름, 니토풀론(등록 상표명, 닛토 전공사 제조) 과 같은 불소 수지 필름 등을 들 수 있다. 이들 중에서도 아피칼 (등록 상표명, 카네카사 제조) 과 같은 폴리이미드 필름이 내마모성이 우수한 면에서 바람직하다. 또한, 유전체층의 경도의 기준에 대해서는, 후술하는 기계적 척 기구의 가압부에 관한 기재를 참고로 하면 된다.
본 발명의 유리 기판 유지 수단 (100) 에 있어서, 기계적 척 기구는 가압부 (120) 를 갖고 있다. 그 가압부 (120) 로 유리 기판 (200) 의 성막면의 일부를 가압함으로써, 유리 기판 (200) 을 성막면측 및 이면측으로부터 협지하여 유지할 수 있다. 유리 기판 (200) 의 유지시의 상태는 도 3 의 (A) 에 나타나 있다. 도 3 의 (A) 에 있어서, 유리 기판 (200) 은, 가압부 (120) 와, 정전 척 (110) 의 흡착 유지부 (111) 를 이루는 볼록부에 의해, 성막면측 및 이면측으로부터 협지하여 유지되어 있다.
여기서, 가압부 (120) 에 의한 유리 기판 (200) 성막면의 가압에만 주목했을 경우, 도 2 의 (A) 에 나타내는 유리 기판 (200) 반입시의 상태로부터, 도 3 의 (A) 에 나타내는 유리 기판의 유지시의 상태로 이행하려면, 가압부 (120) 가 장착된 마스크 (150) 를 유리 기판 (200) 에 대해 상대적으로 하강시키면 된다. 또한, 마스크 (150) 는, EUV 마스크 블랭크의 제조시에 실시되는 성막 프로세스시에, 막 재료가 유리 기판 (200) 의 측면측에 돌아 들어가는 것을 방지할 목적으로 형성되어 있다.
본 발명의 유리 기판 유지 수단 (100) 에서는, 유리 기판 (200) 의 성막면의 중심부에 위치하는 품질 보증 영역보다 외측의 부위 (이하, 본 명세서에 있어서, 「성막면 외주부」라고 함) 를 가압부 (120) 로 가압한다. 상기 서술한 바와 같이, 정전 척 (110) 의 흡착 유지면 (흡착 유지부 (111) 의 상면) 은 유리 기판 (200) 의 이면 외주부와 접촉하므로, 유리 기판 (200) 은 그 외주부 (성막면 외주부 및 이면 외주부) 에 있어서, 성막면측 및 이면측으로부터 협지하여 유지되게 된다. 따라서, 유리 기판 (200) 의 성막면 및 이면의 중심부에 위치하는 품질 보증 영역에는, 기계적 척 기구의 가압부 (120), 및 정전 척 (110) 의 흡착 유지면 (흡착 유지부 (111) 의 상면) 과 같은, 유리 기판 유지 수단 (100) 의 구성 요소가 접촉하는 경우가 없다.
이로써, 유지에 의한 유리 기판의 품질 보증 영역 (성막면 및 이면의 품질 보증 영역) 에 대한 흠집의 발생이나 이물질의 부착을 억제할 수 있다.
여기서, 유리 기판 (200) 의 성막면의 품질 보증 영역은, 가로세로 152.4 ㎜ 의 유리 기판의 경우, 통상적으로 중심부의 가로세로 148 ㎜ 의 영역이므로, 기계적 척 기구의 가압부 (120) 는, 그 가로세로 148 ㎜ 의 영역보다 외측의 부위를 가압하도록 형성되어 있으면 되고, 가로세로 150 ㎜ 의 영역보다 외측의 부위를 가압하도록 형성되어 있는 것이 바람직하다. 유리 기판의 성막면의 품질 보증 영역이 가로세로 148 ㎜ 의 영역과는 상이한 경우에도, 상기의 동일한 생각으로 품질 보증 영역 + 가로세로 2 ㎜ 의 영역보다 외측의 부위, 바람직하게는 품질 보증 영역 + 가로세로 2 ㎜ 의 영역보다 외측의 부위와 접촉하도록, 흡착 유지부 (111) 를 이루는 볼록부를 형성하면 된다.
또한, 유리 기판의 성막면측의 외주부에 모따기부가 형성되어 있는 경우에는, 그 모따기부를 가압하도록 기계적 척 기구의 가압부가 형성되어 있는 것이 더욱 바람직하다.
도 2 의 (A) 및 도 3 의 (A) 에 있어서, 가압부 (120) 의 가압면은, 그 가압부 (120) 에 의해 가압되는 유리 기판 (200) 의 성막면에 대해 경사져 있다.
도시한 양태와 같이, 본 발명의 유리 기판 유지 수단 (100) 에 있어서, 가압부 (120) 의 가압면은, 그 가압부 (120) 에 의해 가압되는 유리 기판 (200) 의 성막면에 대해 경사져 있는 것이 바람직하다. 그 이유는, 성막면 외주부 중, 보다 외측의 부위를 가압하는 것이 가능해지기 때문이다. 도 3 의 (A) 에서는, 가압부 (120) 에 의해, 유리 기판 (200) 의 성막면과 측면의 경계를 이루는 변을 가압하고 있다.
성막면 외주부 중, 보다 외측의 부위를 가압하는 것은, 유지에 의한 유리 기판의 품질 보증 영역 (성막면의 품질 보증 영역) 에 대한 흠집의 발생이나 이물질의 부착을 억제하는데 있어서 바람직하다.
또한, 가압부 (120) 의 가압면이 유리 기판 (200) 의 성막면에 대해 경사져 있는 것은 이하의 점에서도 바람직하다.
특허문헌 2 에 기재된 유리 기판 가압 수단 (4) (이하, 특허문헌 2 에 관한 기재에 있어서의 부호는 동일 문헌에서의 기재에서의 부호와 같음) 은, 기판 (1) 을 가압할 때에, 그 유리 기판 가압 수단 (4) 의 클램프 부재 (41) 선단의 클릭부 (41a) 가 기판 (1) 의 주표면 (1a) 의 단부와 맞닿는 것인데, 맞닿을 때에 있어서, 그 클릭부 (41a) 의 맞닿음면과 주표면 (1a) 이 평행한 상태, 요컨대, 양자가 면접촉한 상태가 된다. 이와 같은 구성의 경우, EUV 마스크 블랭크의 제조시에 실시되는 성막 순서시에, 기판 (1) 의 주표면 (1a) (본원에 있어서의 성막면) 및 클릭부 (41a) 의 양방에 막 재료가 연속하여 부착되는 부분이 생긴다. 유리 기판 가압 수단 (4) 에 의한 가압을 해제할 때, 이와 같은 부분의 막이 박리되어, 이물질을 발생시킬 우려가 있다.
이것에 대해, 도 2 의 (A) 및 도 3 의 (A) 에 나타내는 양태와 같이, 가압부 (120) 의 가압면이, 유리 기판 (200) 의 성막면에 대해 경사져 있으면, EUV 마스크 블랭크의 제조시에 실시되는 성막 순서시에, 유리 기판 (200) 의 성막면 및 가압부 (120) 의 양방에 막 재료가 연속하여 부착되는 부분이 잘 생기지 않게 되므로, 가압부 (120) 에 의한 가압을 해제할 때에, 막이 박리되어, 이물질을 발생시킬 우려가 억제된다.
본 발명의 유리 기판 유지 수단 (100) 에 있어서, 가압부 (120) 의 가압면과 유리 기판 (200) 의 성막면이 이루는 각도가 5 ? 70°인 것이 바람직하다. 양자가 이루는 각도가 5°미만이면, 또한, 특허문헌 2 에 기재된 유리 기판 가압 수단의 경우와 같이, 양자가 평행한 상태에 가까워지기 때문에, 유리 기판 (200) 의 성막면 및 가압부 (120) 의 양방에 막 재료가 연속하여 부착되는 부분이 생기기 쉬워져, 가압부 (120) 에 의한 가압을 해제할 때에, 막이 박리되어, 이물질을 발생시킬 우려가 있다.
또한, 성막면 외주부 중, 보다 내측의 부위를 가압하게 되기 때문에, 유지에 의한 유리 기판의 품질 보증 영역 (성막면의 품질 보증 영역) 에 대한 흠집의 발생이나 이물질의 부착을 억제하는데 있어서 바람직하지 않다.
한편, 양자가 이루는 각도가 70°초과이면, 가압부가 유리 기판의 측면을 따른 형태로 변형되어, 유리 기판을 가압하는 것이 곤란해질 우려가 있다.
양자가 이루는 각도는 10 ? 65°인 것이 바람직하고, 20 ? 60°인 것이 보다 바람직하고, 30 ? 55°인 것이 더욱 바람직하다.
도 2 의 (A) 및 도 3 의 (A) 에 있어서, 기계적 척 기구의 가압부 (120) 의 가압면은 평면을 이루고 있지만, 기계적 척 기구의 가압부의 가압면은 만곡면이어도 된다. 이 경우, 가압부를 이루는 만곡면 중, 유리 기판의 성막면과 맞닿는 위치에 있어서의 접선과 유리 기판의 성막면이 이루는 각도가 상기한 가압면과 성막면이 이루는 각도에 해당한다.
도 1 에 있어서, 직사각형을 한 유리 기판 (200) 의 성막면의 외측 가장자리를 이루는 4 변에 대해, 기계적 척 기구의 가압부 (120) 가 각각 2 개씩 형성되어 있다. 다르게 말하면, 유리 기판 (200) 의 성막면의 외측 가장자리를 이루는 4 변에는, 각각 외측 가장자리를 이루는 변을 포함하는 위치, 혹은, 외측 가장자리를 이루는 변에 근접하는 위치에, 기계적 척 기구 (의 가압부 (120)) 에 의한 피가압부가 2 지점씩 존재한다.
외측 가장자리를 이루는 변을 포함하는 위치에 기계적 척 기구에 의한 피가압부가 존재하는 경우란, 도 3 의 (A) 에 나타내는 양태와 같이, 유리 기판 (200) 의 성막면의 외측 가장자리를 이루는 변 위에 기계적 척 기구 (의 가압부 (120)) 에 의한 피가압부가 존재하는 경우를 가리킨다. 단, 가압부 (120) 의 형상에 따라서는, 외측 가장자리를 이루는 변뿐만 아니라 그 변보다 성막면의 내측 부분도 가압하는 경우도 있기 때문에, 외측 가장자리를 이루는 변을 포함하는 위치에 기계적 척 기구에 의한 피가압부가 존재한다고 기재한다.
한편, 외측 가장자리를 이루는 변에 근접하는 위치에 기계적 척 기구에 의한 피가압부가 존재하는 경우란, 유리 기판 (200) 의 성막면의 외측 가장자리보다 이루는 변 위에는 기계적 척 기구 (의 가압부 (120)) 에 의한 피가압부가 존재하지 않고, 외측 가장자리를 이루는 변보다 성막면의 내측 부분에만 기계적 척 기구에 의한 피가압부가 존재하는 경우를 가리킨다.
이하, 본 명세서에 있어서, 성막면의 외측 가장자리를 이루는 변을 포함하는 위치에 기계적 척 기구에 의한 피가압부가 존재하는 경우와 외측 가장자리를 이루는 변에 근접하는 위치에 피가압부가 존재하는 경우를 총칭하여, 성막면의 외측 가장자리를 이루는 변에 기계적 척 기구에 의한 피가압부가 존재한다고 한다.
도 1 에서는, 유리 기판 (200) 의 성막면의 외측 가장자리를 이루는 4 변에, 기계적 척 기구 (의 가압부 (120)) 에 의한 피가압부가 각각 2 지점씩 존재하지만, 외측 가장자리를 이루는 변에 대한 피가압부의 수는 이것에 한정되지 않고, 각 변에 피가압부가 각각 1 지점씩 존재해도 되고, 각각 3 지점 이상 존재해도 된다. 또한, 외측 가장자리를 이루는 변마다 피가압부의 수가 상이해도 된다.
또한, 도 1 에서는, 유리 기판 (200) 의 성막면의 외측 가장자리를 이루는 4 변 전부에, 기계적 척 기구 (의 가압부 (120)) 에 의한 피가압부가 존재하지만, 이것에 한정되지 않는다.
본 발명의 유리 기판 유지 수단 (100) 에서는, 유리 기판 (200) 의 성막면의 외측 가장자리를 이루는 4 변 중, 적어도 대향하는 2 변 (예를 들어, 도 1 중, 상측의 변과 하측의 변) 에 기계적 척 기구에 의한 피가압부가 존재하면, 유리 기판 (200) 을 수평으로 유지할 수 있다.
본 발명의 유리 기판 유지 수단에서는, 유리 기판의 성막면의 외측 가장자리를 이루는 4 변 중, 3 변에 기계적 척 기구에 의한 피가압부가 존재하는 것이 바람직하고, 4 변 전부에 기계적 척 기구에 의한 피가압부가 존재하는 것이 보다 바람직하다.
본 발명의 유리 기판 유지 수단에 있어서, 기계적 척 기구의 가압부는, 유리 기판의 가압시에 파손되지 않도록, 충분한 경도를 갖고 있을 필요가 있다.
그러나, 가압부가, 가압되는 유리 기판보다 높은 경도를 갖고 있으면, 가압시에 유리 기판에 흠집이 생길 우려가 있다.
이 때문에, 가압부가, 가압되는 유리 기판보다 경도가 낮은 재료로 제작되어 있는 것이 바람직하다.
가압부의 경도의 기준으로는, 비커스 경도 (HV) 가 650 미만인 것이 바람직하고, 100 이하인 것이 보다 바람직하고, 30 이하인 것이 더욱 바람직하다.
또한, 본 발명의 유리 기판 유지 수단에 있어서, 기계적 척 기구의 가압부는, EUV 마스크 블랭크의 제조시에 실시되는 성막 프로세스하에 놓여지기 때문에, 성막 용기 내의 진공 분위기하에 있어서, 가스 성분을 방출하지 않는 것이어서는 안된다. 또한 성막 장치에서는 기판 등이 열을 갖는 경우가 있기 때문에, 기계적 척 기구의 가압부는, 적어도 약 150 ℃ 정도까지 화학적?물리적으로 내열성을 갖고 있을 것이 요구된다.
이상의 점을 만족하는 것이 필요하므로, 기계적 척 기구의 가압부의 구성 재료로는, 퍼플루오로엘라스토머, 불소 고무, 실리콘 고무 등의 엘라스토머 재료나, 폴리에테르에테르케톤 (PEEK), 폴리이미드, 폴리벤조이미다졸 (PBI) 수지, 불소 수지 등을 사용할 수 있다. 이들 중에서도, 퍼플루오로엘라스토머, 불소 고무가 바람직하고, 퍼플루오로엘라스토머가 보다 바람직하다.
본 발명의 유리 기판 유지 수단은, EUV 마스크 블랭크의 제조시에 있어서, 위치 어긋남이나 탈리를 일으키지 않고 유리 기판을 유지할 것이 요구된다.
본 발명의 유리 기판 유지 수단은, 정전 척 기구에 의한 유리 기판의 흡착 유지력과 기계적 척 기구에 의한 유리 기판의 유지력의 합이, 200 kgf 이상으로 높기 때문에, EUV 마스크 블랭크의 제조시에 있어서, 위치 어긋남이나 탈리를 일으키지 않고 유리 기판을 유지할 수 있다.
본 발명의 유리 기판 유지 수단에 있어서, 정전 척 기구에 의한 유리 기판의 흡착 유지력과 기계적 척 기구에 의한 유리 기판의 유지력의 합이, 240 kgf 이상인 것이 바람직하고, 280 kgf 이상인 것이 보다 바람직하다.
상기 서술한 바와 같이, 유리 기판의 이면 외주부를 정전 척으로 흡착 유지하는 경우, 유리 기판의 피흡착 유지부의 표면적이 작아지기 때문에, 유리 기판을 유지하는데 충분한 크기의 흡착 유지력을 발휘시켰을 경우, 피흡착 유지부에 대한 단위 면적당의 압력이 높아져, 그 피흡착 유지부에서의 흠집의 발생이나 그것에 의한 이물질의 발생이 문제가 될 우려가 있다. 또한, 그 피흡착 유지부에 대해 국소적으로 정전 인력 (쿨롱력이나 유전체 인력) 이 가해지기 때문에, 잔류 전하나 누설 전장에 의해 그 피흡착 유지부에 다량의 이물질을 끌어당길 우려가 있다.
피흡착 유지부는 이면의 외측 가장자리부에 존재하기 때문에, 흠집이나 이물질의 발생에 의한 영향은 이면의 품질 보증 영역에서 동일한 문제가 발생했을 경우에 비하면 작지만, 피흡착 유지부에서 발생한 이물질이나, 그 피흡착 유지부에 끌어당겨진 이물질의 일부가 이면의 품질 보증 영역에 전사될 우려가 있다.
유리 기판의 성막면 외주부를 기계적 척 기구에 의해 유지하는 경우에도 동일하여, 유리 기판을 유지하는데 충분한 크기의 유지력을 발휘시켰을 경우, 유리 기판의 피유지 부위에 대한 단위 면적당의 압력이 높아져, 유리 기판의 피유지부에서의 흠집의 발생이나 그것에 따른 이물질의 발생이 문제가 될 우려가 있다.
또한, 유리 기판의 성막면 외주부 및 이면 외주부에 있어서의 결점에 관한 요구에 대해서는, 성막면 및 이면의 품질 보증 영역에 있어서의 결점에 관한 요구 와 함께 후술한다.
본 발명의 유리 기판 유지 수단에서는, 정전 척 기구에 의한 흡착 유지와 기계적 척 기구에 의한 유지를 병용함으로써, 유리 기판의 유지부에 대한 단위 면적당의 압력 (정전 척 기구에 의한 흡착 유지부에 대한 단위 면적당의 압력, 및 기계적 척 기구에 의한 흡착 유지부에 대한 단위 면적당의 압력) 을 과도하게 증가시키지 않고, 유리 기판을 유지하는데 충분한 크기의 유지력을 발휘할 수 있다. 이로써, EUV 마스크 블랭크의 제조시에 있어서, 유리 기판의 성막면 외주부 및 이면 외주부에 대한 흠집의 발생이나 이물질의 부착을 억제하면서, 유리 기판의 위치 어긋남이나 탈리를 방지할 수 있다.
본 발명의 유리 기판 유지 수단에서는, 정전 척 기구에 의한 유리 기판의 흡착 유지력과 기계적 척 기구에 의한 유리 기판의 유지력의 합이, 200 kgf 이상이면 되고, 상한은 특별히 한정되지 않지만, 500 kgf 이하가 기판에 무리한 힘을 가하지 않고 유지할 수 있는 기준이 된다. 기판에 무리한 힘을 가하면 기판이 변형되는 경우도 있으므로 바람직하지 않다.
각각의 척 기구에 의한 유지력의 내역은 특별히 한정되지 않지만, 기계적 척 기구에 의한 유리 기판의 단위 면적당의 가압력이 지나치게 크면, 유리 기판의 피가압부에서의 흠집의 발생이나 그것에 따른 이물질의 발생이 문제가 될 우려가 있다.
이 때문에, 기계적 척 기구에 의한 유리 기판의 단위 면적당의 가압력은, 25 kgf/㎠ 이하일 것이 요구된다.
본 발명의 유리 기판 유지 수단에 있어서, 기계적 척 기구에 의한 유리 기판의 단위 면적당의 가압력은, 10 kgf/㎠ 이하인 것이 바람직하고, 5 kgf/㎠ 이하인 것이 보다 바람직하고, 1 kgf/㎠ 이하인 것이 더욱 바람직하다.
본 발명의 유리 기판 유지 수단에 있어서, 정전 척 기구에 의한 유리 기판의 흡착 유지력이 30 kgf 이상인 것이 바람직하고, 50 kgf 이상인 것이 보다 바람직하고, 100 kgf 이상인 것이 더욱 바람직하고, 150 kgf 이상인 것이 더욱 바람직하다. 또한, 정전 척 기구에 의한 유리 기판의 흡착 유지력이 250 kgf 이하인 것이 바람직하고, 200 kgf 이하인 것이 보다 바람직하다.
본 발명의 유리 기판 유지 수단에 있어서, 기계적 척 기구에 의한 유리 기판의 유지력이 250 kgf 이하인 것이 바람직하고, 200 kgf 이하인 것이 보다 바람직하고, 150 kgf 이하인 것이 더욱 바람직하다. 또한, 기계적 척 기구에 의한 유리 기판의 유지력이, 30 kgf 이상인 것이 바람직하고, 50 kgf 이상인 것이 보다 바람직하다.
도 1 ? 도 3 에 나타내는 유리 기판 유지 수단 (100) 을 사용할 때에는, 로봇 암 등의 반송 수단 (도시되지 않음) 을 사용하여 유리 기판 (200) 을 정전 척 기구 (110) 의 상방의 소정 위치 (구체적으로는, 유리 기판 (200) 의 네 귀퉁이의 단부 부근이 지지 핀 (140) 의 상방이 되는 위치) 까지 반송하고, 유리 기판 (200) 이면의 네 귀퉁이의 단부 부근을 지지 핀 (140) 으로 유지한다. 이하, 본 명세서에 있어서, 반송 수단을 사용하여 유리 기판을 정전 척 기구의 상방의 소정 위치까지 반송하고, 유리 기판 이면의 네 귀퉁이의 단부 부근을 지지 핀으로 유지하는 순서를, 유리 기판 유지 수단에 유리 기판을 재치하는 순서라고 한다.
다음으로, 반송 수단에 의한 유리 기판 (200) 의 유지를 풀고, 반송 수단을 유리 기판 유지 수단 (100) 의 외부로 이동시킨다. 반송 수단이 후술하는 로봇 암 (300) 인 경우, 그 로봇 암 (300) 을 후퇴시켜, 유리 기판 유지 수단 (100) 의 외부로 이동시킨다. 다음으로, 지지 핀 (140) 을 하강시켜, 유리 기판 (200) 의 이면을 정전 척 기구 (110) 의 흡착 유지면 (흡착 유지부 (111) 의 상면)과 접촉시킴으로써, 정전 척 기구 (110) 에 의해 유리 기판 (200) 을 흡착 유지한다. 그 후, 가압부 (120) 가 장착된 마스크 (150) 를 유리 기판 (200) 에 대해 상대적으로 하강시킴으로써, 가압부 (120) 와, 정전 척 (110) 의 흡착 유지면 (111) 에 의해, 유리 기판 (200) 을 협지하여 유지한다.
상기의 과정에 있어서, 유리 기판 유지 수단에 유리 기판을 재치할 때에, 유리 기판 유지 수단과 유리 기판의 위치 관계가 적절한 상태가 되도록 위치 결정하는 것, 구체적으로는 양자가 항상 수평이 되는 위치 관계를 유지하도록 위치 결정하는 것이 중요하다. 이하, 본 명세서에 있어서, 유리 기판 유지 수단과 유리 기판의 위치 관계가 적절하다고 했을 경우, 양자가 항상 수평이 되는 위치 관계로 유지되고 있는 것을 가리킨다.
유리 기판 유지 수단과 유리 기판의 위치 관계가 적절하지 않은 경우, EUV 마스크 블랭크의 제조시에 실시되는 성막 순서시에, 유리 기판의 성막면 외주부에 막 재료가 부착될 우려가 있다. 유리 기판의 성막면 외주부에 대한 막 재료의 부착은, 이물질의 발생원이 되는 등의 이유로부터 바람직하지 않다.
또한, 유리 기판 유지 수단 (100) 과 유리 기판 (200) 의 위치 관계가 적절하지 않으면 유리 기판 (200) 이면의 네 귀퉁이 중 어느 것이 지지 핀 (140) 의 상방에 위치하고 있지 않은 상태가 되고, 반송 수단에 의한 유리 기판 (200) 의 유지를 풀었을 때에, 유리 기판 (200) 이 지지 핀 (140) 으로부터 낙하하거나 유리 기판 (200) 이 경사진 상태로 정전 척 (110) 의 흡착 유지면 (111) 과 접촉함으로써, 유리 기판 (200) 의 피흡착 유지부에 흠집이 발생할 우려가 있다.
이 때문에, 본 발명의 유리 기판 유지 수단은, 유리 기판 유지 수단에 유리 기판을 재치할 때에, 유리 기판 유지 수단과 유리 기판의 위치 관계가 적절한 상태가 되도록 위치 결정하는 위치 결정 수단을 갖고 있는 것이 바람직하다.
도시한 유리 기판 유지 수단 (100) 은, 위치 결정 수단 (130) 을 갖고 있다. 단, 상기 서술한 점에서 분명한 바와 같이, 본 발명의 유리 기판 유지 수단에 있어서, 위치 결정 수단은 필수 구성 요건은 아니다.
도 2 의 (B) 에 나타내는 바와 같이, 위치 결정 수단 (130) 은 유리 기판 (200) 의 측면 및 바닥면 (이면) 에 대해 경사진 가이드면을 갖고 있고, 유리 기판 유지 수단 (100) 에 유리 기판 (200) 을 재치할 때에, 그 가이드면이 유리 기판 (200) 의 측면과 바닥면 (이면) 의 경계를 이루는 변 (이하, 본 명세서에 있어서, 「유리 기판의 저변」이라고 함) 과 맞닿는다. 여기서, 위치 결정 수단 (130) 의 가이드면은, 유리 기판 (200) 의 측면 및 바닥면 (이면) 에 대해 경사져 있으므로, 위치 결정 수단 (130) 의 가이드면에 유리 기판 (200) 의 저변을 맞닿게 했을 때, 유리 기판 (200) 은 자중에 의해 하방으로 이동한다. 여기서, 위치 결정 수단 (130) 을 유리 기판 유지 수단 (100) 의 정전 척 기구 (110) 에 대해 적절한 위치에 배치해 두면, 유리 기판 (200) 은 자중에 의해 하방으로 이동함으로써, 유리 기판 유지 수단 (100) 과 유리 기판 (200) 의 위치 관계, 보다 구체적으로는, 유리 기판 유지 수단 (100) 의 정전 척 기구 (110) 와 유리 기판 (200) 의 위치 관계가 적절한 상태가 되도록 위치 결정할 수 있다.
도 4 의 (a) ? (e) 는, 유리 기판 유지 수단 (100) 에 유리 기판 (200) 을 재치할 때에, 위치 결정 수단 (130) 에 의해, 유리 기판 유지 수단 (100) 과 유리 기판 (200) 의 위치 관계가 적절한 상태가 되도록 위치 결정하는 순서를 나타낸 도면이고, 도 1 중 B-B' 선을 따른 단면도에 상당한다. 단, 이해를 용이하게 하기 위해, 유리 기판 유지 수단 (100) 의 구성 요소 중, 마스크부 (150) 와 그 마스크부 (150) 에 장착된 기계적 척 기구의 가압부 (120) 는 생략되어 있다.
도 4 의 (a) ? (e) 에서는, 유리 기판 (200) 의 반송 수단으로서, 로봇 암 (300) 을 사용한다. 도 4 의 (a) 에 있어서, 유리 기판 (200) 은 유리 기판 유지 수단 (100) 의 외부에서 로봇 암 (300) 에 실려 있다. 이 상태로부터, 로봇 암 (300) 을 전진시켜, 도 4 의 (b) 에 나타내는 바와 같이, 유리 기판 (200) 을 유리 기판 유지 수단 (100) 의 정전 척 기구 (110) 의 상방으로 이동시킨다. 여기서, 로봇 암 (300) 을 도면 중 좌측 방향으로 이동시키는 것을 로봇 암 (300) 을 전진시킨다고 하고, 로봇 암 (300) 을 도면 중 우측 방향으로 이동시키는 것을 로봇 암 (300) 을 후퇴시킨다고 한다. 또한, 로봇 암 (300) 을 도면 중 상측 방향으로 이동시키는 것을 로봇 암 (300) 을 상승시킨다고 하고, 로봇 암 (300) 을 도면 중 하측 방향으로 이동시키는 것을 로봇 암 (300) 을 하강시킨다고 한다.
다음으로, 로봇 암 (300) 을 하강시켜, 도 4 의 (c) 에 나타내는 바와 같이, 유리 기판 (200) 의 저변을 위치 결정 수단 (130) 의 가이드면에 맞닿게 한다. 도 4(c) 에서는 도면 중 좌측에만 위치 결정 수단 (130) 이 나타나 있는데, 도 1 에 나타내는 바와 같이, 유리 기판 (200) 의 4 변 전부에 대해, 위치 결정 수단 (130) 이 존재한다. 여기서, 도면 중, 우측만 위치 결정 수단 (130) 의 위치가 상이한 것은, 도면 중 우측으로부터 로봇 암 (300) 이 진입하는 것을 상정하고 있기 때문이다.
그 후, 로봇 암 (300) 을 더욱 하강시키고, 유리 기판 (200) 이면의 네 귀퉁이의 단부 부근을 지지 핀 (140) 으로 유지하고, 로봇 암 (300) 에 의한 유리 기판 (200) 의 유지를 푼다.
여기서, 유리 기판 (200) 의 저변이 위치 결정 수단 (130) 의 가이드면에 맞닿은 상태로부터 로봇 암 (300) 을 하강시키면, 유리 기판 (200) 이 자중에 의해 하방으로 이동함으로써, 유리 기판 유지 수단 (100) 의 정전 척 기구 (110) 와 유리 기판 (200) 의 위치 관계가 적절한 상태가 되도록 위치 결정되고, 그 후에 유리 기판 (200) 이면의 네 귀퉁이의 단부 부근이 지지 핀 (140) 으로 유지된다. 이 순서를 달성하기 위해서, 위치 결정 수단 (130) 의 가이드면의 유리 기판 (200) 의 저변과 맞닿는 부위가, 유리 기판 (200) 의 지지 핀 (140) 의 상단보다 상방에 위치할 필요가 있다.
다음으로, 도 4 의 (d) 에 나타내는 바와 같이, 로봇 암 (300) 을 유리 기판 유지 수단 (100) 의 외부로 후퇴시킨다. 도 2 의 (B) 는 이 단계에 상당한다.
다음으로, 지지 핀 (140) 과 위치 결정 수단 (130) (실제로는 위치 결정 수단 (130) 이 장착된 마스크 (150)) 을 하강시켜, 도 4 의 (e) 에 나타내는 바와 같이, 유리 기판 (200) 의 이면을 정전 척 기구 (110) 의 흡착 유지면 (흡착 유지부 (111) 의 상면) 과 접촉시킴으로써, 유리 기판 (200) 이 정전 척 기구 (110) 로 흡착 유지된다.
본 발명의 유리 기판 유지 수단에 있어서, 위치 결정 수단 (130) 의 가이드면과 유리 기판 (200) 의 바닥면 (이면) 이 이루는 각도는 5°이상 90°미만이다.
양자가 이루는 각도가 5°미만인 경우, 유리 기판 (200) 의 저변을 위치 결정 수단 (130) 의 가이드면에 맞닿게 했을 때에 유리 기판 (200) 의 유지가 불충분해져, 유리 기판 (200) 이 자중에 의해 하방으로 이동할 때에 유리 기판 (200) 이 경사질 우려가 있다. 자중에 의해 하방으로 이동할 때에 유리 기판 (200) 이 경사지면, 정전 척 기구 (110) 와 유리 기판 (200) 의 위치 관계가 적절한 상태가 되도록 위치 결정할 수 없게 될 우려가 있는 데다가, 지지 핀 (140) 과 접했을 때에 유리 기판 (200) 이 수평 방향으로 이동함으로써, 유리 기판 (200) 의 이면에 흠집이 발생할 우려가 있다.
양자가 이루는 각도는 20 ? 70°인 것이 바람직하고, 30 ? 60°인 것이 보다 바람직하다.
도시한 양태에 있어서, 지지 부재 (130) 의 가이드면은 평면을 이루고 있지만, 지지 부재 (130) 의 가이드면은 만곡면이어도 된다. 이 경우, 가이드면을 이루는 만곡면 중, 유리 기판의 저변과 맞닿는 위치에 있어서의 접선과 유리 기판 (200) 의 바닥면 (이면) 이 이루는 각도가, 상기한 위치 결정 수단의 가이드면과 유리 기판의 바닥면 (이면) 이 이루는 각도에 해당한다.
도 1 에서는, 유리 기판 (200) 의 4 변 전부에 대해 지지 부재 (130) 가 형성되어 있는데, 이것에 한정되지 않는다.
본 발명의 유리 기판 유지 수단에서는, 유리 기판의 4 개의 저변 중, 적어도 직교하는 2 변 (예를 들어, 도 1 중, 상측의 변과 좌측의 변) 에 대해 지지 부재가 형성되면, 유리 기판 유지 수단 (100) 의 정전 척 기구 (110) 와 유리 기판 (200) 의 위치 관계가 적절한 상태가 되도록 위치 결정할 수 있다.
본 발명의 유리 기판 유지 수단에서는, 유리 기판의 4 개의 저변 중, 적어도 직교하는 2 변에 대해 1 개씩 위치 결정 수단을 형성하면, 유리 기판 유지 수단의 정전 척 기구와 유리 기판의 위치 관계가 적절한 상태가 되도록 위치 결정할 수 있다.
본 발명의 유리 기판 유지 수단에서는, 유리 기판의 4 개의 저변 중, 3 변에 대해 지지 부재를 형성하는 것이 바람직하고, 4 변 전부에 대해 지지 부재를 형성하는 것이 보다 바람직하다.
또한, 도 1 에 나타내는 유리 기판 유지 수단 (100) 에서는, 유리 기판 (200) 의 4 개의 저변 중, 3 변에 대해서는 1 개의 위치 결정 수단 (130), 도면 중 우측의 변에 대해서는 2 개의 위치 결정 수단 (130) 이 형성되어 있는데, 각 저변에 대한 위치 결정 수단의 수는 이것에 한정되지 않고, 각 변에 대해 2 개 이상의 위치 결정 수단을 형성해도 되고, 각 변에 대해 위치 결정 수단을 1 개씩 형성한 것이어도 된다.
본 발명의 유리 기판 유지 수단에 있어서, 유리 기판의 4 개의 저변 중, 직교하는 2 변에 대해 1 개씩 위치 결정 수단이 형성되는 경우, 위치 결정 수단이 형성된 저변의 길이를 L (㎜) 로 할 때, 그 저변 중, 위치 결정 수단의 가이드면과 맞닿는 부분의 단부와 그 저변의 단부의 거리가 0.1 L 이상이 되는 위치에 위치 결정 수단을 형성하는 것이 바람직하다.
직교하는 2 개의 저변에 대해 1 개씩 위치 결정 수단이 형성된 경우에, 위치 결정 수단의 가이드면과 맞닿는 부분의 단부와 그 저변의 단부의 거리가 0.1 L 미만이면, 유리 기판 (200) 의 저변을 위치 결정 수단 (130) 의 가이드면에 맞닿게 했을 때에 유리 기판 (200) 의 유지가 불충분해져, 유리 기판 (200) 이 자중에 의해 하방으로 이동할 때에 유리 기판 (200) 이 경사질 우려가 있다. 자중에 의해 하방으로 이동할 때에 유리 기판 (200) 이 경사지면, 정전 척 기구 (110) 와 유리 기판 (200) 의 위치 관계가 적절한 상태가 되도록 위치 결정할 수 없게 될 우려가 있는 데다가, 지지 핀 (140) 과 접했을 때에 유리 기판 (200) 이 수평 방향으로 이동함으로써, 유리 기판 (200) 의 이면에 흠집이 발생할 우려가 있다.
직교하는 2 개의 저변에 대해 1 개씩 위치 결정 수단이 형성되는 경우에, 지지 부재의 가이드면과 맞닿는 부분의 단부와 그 저변의 단부의 거리가 0.2 L 이상인 것이 바람직하고, 0.3 L 이상인 것이 보다 바람직하다.
유리 기판의 4 개의 저변 중, 직교하는 2 변에 대해 위치 결정 수단이 형성되는 경우여도, 각 저변에 대해 2 개 이상의 위치 결정 수단을 형성하면, 상기의 문제가 일어나지 않기 때문에, 지지 부재의 가이드면과 맞닿는 부분의 단부와 그 저변의 단부의 거리가 이것에 한정되지 않는다.
본 발명의 유리 기판 유지 수단에 있어서, 위치 결정 수단은, 유리 기판의 저변과 맞닿을 때에 파손되지 않도록, 충분한 경도를 갖고 있을 필요가 있다.
그러나, 위치 결정 수단이 유리 기판보다 높은 경도를 갖고 있으면, 맞닿을 때에 유리 기판에 흠집이 생길 우려가 있다.
이 때문에, 위치 결정 수단은 유리 기판보다 경도가 낮은 재료로 제작되어 있는 것이 바람직하다.
위치 결정 수단의 경도의 기준으로는, 비커스 경도 (HV) 가 650 미만인 것이 바람직하고, 100 이하인 것이 보다 바람직하고, 30 이하인 것이 더욱 바람직하다.
또한, 본 발명의 유리 기판 유지 수단에 있어서, 위치 결정 수단은, EUV 마스크 블랭크의 제조시에 실시되는 성막 프로세스하에 놓여지기 때문에, 성막 용기 내의 진공 분위기하에 있어서, 가스 성분을 방출하지 않는 것이 바람직하다. 또한 성막 장치에서는 기판 등이 열을 갖는 경우가 있기 때문에, 위치 결정 수단은, 적어도 약 150 ℃ 정도까지 화학적?물리적으로 내열성을 갖고 있는 것이 바람직하다.
이상의 점을 만족하는 것을 고려하면, 위치 결정 수단의 구성 재료로는, 폴리에테르에테르케톤 (PEEK), 폴리이미드, 폴리벤조이미다졸 (PBI) 수지, 불소 수지, 불소 고무 등의 수지 재료나 엘라스토머 재료를 사용할 수 있다. 이들 중에서도, 폴리에테르에테르케톤 (PEEK), 폴리이미드, 불소 고무가 바람직하고, 폴리에테르에테르케톤 (PEEK) 이 보다 바람직하다.
다음으로, 본 발명의 EUV 마스크 블랭크의 제조 방법에 대해 설명한다.
EUV 마스크 블랭크는, 유리 기판의 성막면에 EUV 광을 반사하는 반사층, 및 EUV 광을 흡수하는 흡수층이 이 순서대로 형성된 것을 기본 구성으로 한다. EUV 마스크 블랭크의 반사층으로는, EUV 파장역에 있어서 고반사율을 달성할 수 있는 점에서, 고굴절률막과 저굴절률막을 교대로 복수회 적층시킨 다층 반사막이 널리 사용되고 있다.
본 발명의 방법에 의해 제조되는 EUV 마스크 블랭크는, 상기 이외의 각종 기능층을 갖고 있어도 된다. 이와 같은 기능층의 구체예로는, 반사층의 표면이 산화되는 것을 방지할 목적으로 반사층 상에 필요에 따라 형성되는 반사층의 보호층, 패터닝시에 반사층이 데미지를 받는 것을 방지할 목적으로 반사층과 흡수층 사이에 필요에 따라 형성되는 버퍼층, 마스크 패턴의 검사시의 콘트라스트를 향상시킬 목적으로 흡수층 상에 필요에 따라 형성되는 마스크 패턴의 검사광에 대한 저반사층을 들 수 있다.
또한, 유리 기판의 이면에는 이면 도전막을 갖고 있어도 된다.
본 발명의 EUV 마스크 블랭크 제조 방법에서는, 마그네트론 스퍼터링법, 이온 빔 스퍼터링법과 같은 스퍼터링법, CVD 법 및 진공 증착법과 같은 건식 성막법에 의해, 유리 기판의 성막면 상에 반사층 및 흡수층을 형성한다.
필요에 따라 형성되는 상기의 각종 기능막을 갖는 EUV 마스크 블랭크를 제조하는 경우에는, 건식 성막법에 의해 유리 기판의 성막면 상에 상기의 각종 기능막을 형성한다.
이들 성막법 중, 어느 것을 사용할지는 형성하는 막에 따라 적절히 선택할 수 있는데, 마그네트론 스퍼터링법, 이온 빔 스퍼터링법과 같은 스퍼터링법이, 균질한 막두께를 제작하기 쉬운 점, 택트가 짧은 점에서 바람직하다.
본 발명의 EUV 마스크 블랭크 제조 방법을 사용하여, 상기 서술한 기본 구성의 EUV 마스크 블랭크를 제조하는 경우, 건식 성막법에 의해 반사층 및 흡수층 중 적어도 1 개를 형성할 때에, 본 발명의 유리 기판 유지 수단을 사용하여 유리 기판을 유지한다.
따라서, 반사층 및 흡수층 중 어느 일방을 형성할 때만, 본 발명의 유리 기판 유지 수단을 사용하여 유리 기판을 유지하고, 나머지 일방을 형성할 때에는 다른 유지 수단 (예를 들어, 통상적인 정전 척) 을 사용하여 유리 기판을 유지해도 된다.
단, 상기 서술한 바와 같이, 본 발명의 유리 기판 유지 수단으로 유리 기판을 유지했을 경우, EUV 마스크 블랭크의 제조시에 있어서, 유리 기판의 위치 어긋남이나 탈리가 발생되지 않고, 또한, 유지에 의한 유리 기판에 대한 흠집의 발생이나 이물질의 부착을 억제할 수 있기 때문에, 이들 전부를 형성할 때에 본 발명의 유리 기판 유지 수단을 사용하여 유리 기판을 유지하는 것이 바람직하다.
필요에 따라 형성되는 상기의 각종 기능막을 갖는 EUV 마스크 블랭크를 제조하는 경우에는, 건식 성막법에 의해 상기 각종 기능막을 형성할 때에도, 본 발명의 유리 기판 유지 수단을 사용하여 유리 기판을 유지하는 것이 바람직하다.
여기서, 상기 서술한 바와 같이, 기판 위치 결정 수단을 갖는 유리 기판 유지 수단에 사용함으로써, 유리 기판 유지 수단과 유리 기판의 위치 관계가 적절한 상태가 되도록 위치 결정할 수 있다.
상기 서술한 기본 구성의 EUV 마스크 블랭크의 흡수층을 형성하기 전의 상태, 즉, 유리 기판의 성막면 상에 반사층을 형성한 것이 본 발명의 방법에 의해 제조되는 EUVL 용 반사층이 부착된 기판이고, EUV 마스크 블랭크의 전구체를 이루는 것이다.
본 발명의 EUVL 용 반사층이 부착된 기판의 제조 방법에서는, 유리 기판의 성막면 상에, 건식 성막법에 의해 반사층을 형성할 때에, 본 발명의 유리 기판 유지 수단을 사용하여 유리 기판을 유지한다.
이하, 본 발명의 방법에 의해 제조되는 EUV 마스크 블랭크의 구성예를 나타낸다.
유리 기판은, EUV 마스크 블랭크용의 기판으로서의 특성을 만족할 것이 요구된다.
그 때문에, 유리 기판은, 저열팽창 계수 (0 ± 1.0 × 10-7/℃ 인 것이 바람직하고, 보다 바람직하게는 0 ± 0.3 × 10-7/℃, 더욱 바람직하게는 0 ± 0.2 × 10-7/℃, 더욱 바람직하게는 0 ± 0.1 × 10-7/℃, 특히 바람직하게는 0 ± 0.05 × 10-7/℃) 를 갖고, 평활성, 평탄도, 및 마스크 블랭크 또는 패턴 형성 후의 포토마스크의 세정 등에 사용하는 세정액에 대한 내성이 우수한 것이 바람직하다. 유리 기판으로는, 구체적으로는 저열팽창 계수를 갖는 유리, 예를 들어 SiO2-TiO2 계 유리 등을 사용할 수 있다.
유리 기판은, 0.15 ㎚ rms 이하의 평활한 표면과 100 ㎚ 이하의 평탄도를 갖고 있는 것이 패턴 형성 후의 포토마스크에 있어서 고반사율 및 전사 정밀도가 얻어지기 때문에 바람직하다.
유리 기판의 크기나 두께 등은 마스크의 설계값 등에 의해 적절히 결정되는 것이다. 다음에 나타내는 실시예에서는 외형 가로세로 6 인치 (152.4 ㎜) 로, 두께 0.25 인치 (6.3 ㎜) 의 SiO2-TiO2 계 유리를 사용하였다.
유리 기판의 성막면, 특히 성막면의 품질 보증 영역에는 결점이 존재하지 않는 것이 바람직하다. 그러나, 존재하고 있는 경우에도, 오목 형상 결점 및/또는 볼록 형상 결점에 의해 위상 결점이 생기지 않도록, 오목 형상 결점의 깊이 및 볼록 형상 결점의 높이가 2 ㎚ 이하이고, 또한 이들 오목 형상 결점 및 볼록 형상 결점의 반값폭이 60 ㎚ 이하인 것이 바람직하다.
성막면 외주부에 대해서는, 품질 보증 영역에 전사될 가능성이 있는, 높이가 2 ㎚ 를 초과하거나, 혹은 반값폭이 60 ㎚ 를 초과하는 볼록 형상 결점이 존재하지 않는 것이 바람직하다.
유리 기판의 이면, 특히 이면의 품질 보증 영역에 대해서는, 높이가 1 ㎛ 를 초과하는 볼록 형상 결점이 존재하지 않는 것이 바람직하다. 또한, 이면 외주부에 대해서도, 이면의 품질 보증 영역에 전사될 가능성이 있으므로, 높이 1 ㎛ 이상의 볼록 형상 결점은 존재하지 않는 것이 바람직하다.
EUV 마스크 블랭크의 반사층에 특히 요구되는 특성은, 고 EUV 광선 반사율인 것이다. 구체적으로는, EUV 광의 파장 영역의 광선을 반사층 표면에 입사 각도 6°로 조사했을 때에, 파장 13.5 ㎚ 부근의 광선 반사율의 최대치가 60 % 이상인 것이 바람직하고, 65 % 이상인 것이 보다 바람직하다.
EUV 마스크 블랭크의 반사층으로는, EUV 파장역에 있어서 고반사율을 달성할 수 있기 때문에, 고굴절률막과 저굴절률막을 교대로 복수회 적층시킨 다층 반사막이 널리 사용되고 있다. 다층 반사막의 구체예로는, 고굴절률막으로서의 Mo 막과 저굴절률막으로서의 Si 막을 교대로 복수회 적층시킨 Mo/Si 다층 반사막을 들 수 있다.
Mo/Si 다층 반사막의 경우, EUV 광선 반사율의 최대치가 60 % 이상인 반사층으로 하려면, 막두께 2.3 ± 0.1 ㎚ 의 Mo 층과, 막두께 4.5 ± 0.1 ㎚ 의 Si 층을 반복 단위수가 30 ? 60 이 되도록 적층시키면 된다.
또한, Mo/Si 다층 반사막을 구성하는 각 층은, 건식 성막법, 구체적으로는 마그네트론 스퍼터링법, 이온 빔 스퍼터링법 등의 스퍼터링법을 사용하여 원하는 두께가 되도록 성막하면 된다. 예를 들어, 이온 빔 스퍼터링법을 사용하여 Mo/Si 다층 반사막을 형성하는 경우, 타깃으로서 Mo 타깃을 사용하고, 스퍼터 가스로서 Ar 가스 (가스압 1.3 × 10-2 ㎩ ? 2.7 × 10-2 ㎩) 를 사용하고, 이온 가속 전압 3 00 ? 1500 V, 성막 속도 0.03 ? 0.30 ㎚/sec 로 두께 2.3 ㎚ 가 되도록 Mo 층을 성막하고, 다음으로, 타깃으로서 Si 타깃을 사용하고, 스퍼터 가스로서 Ar 가스 (가스압 1.3 × 10-2 ㎩ ? 2.7 × 10-2 ㎩) 를 사용하고, 이온 가속 전압 300 ? 1500 V, 성막 속도 0.03 ? 0.30 ㎚/sec 로 두께 4.5 ㎚ 가 되도록 Si 층을 성막하는 것이 바람직하다. 이것을 1 주기로 하여, Mo 층 및 Si 층을 40 ? 50 주기 적층시킴으로써 Mo/Si 다층 반사막이 성막된다.
흡수층에 특히 요구되는 특성은, EUV 광선 반사율이 매우 낮은 것이다. 구체적으로는, EUV 광의 파장 영역의 광선을 흡수체층 표면에 조사했을 때에, 파장 13.5 ㎚ 부근의 최대 광선 반사율이 0.5 % 이하인 것이 바람직하고, 0.1 % 이하인 것이 보다 바람직하다.
상기의 특성을 달성하기 위해, EUV 광의 흡수 계수가 높은 재료로 구성되는 것이 바람직하다. EUV 광의 흡수 계수가 높은 재료의 구체예로는, 탄탈 (Ta) 을 주성분으로 하는 재료를 들 수 있다.
탄탈 (Ta) 을 주성분으로 하는 재료로 구성되는 흡수층의 구체예로는, Ta, B, Si 및 질소 (N) 를 이하에 서술하는 비율로 함유하는 흡수층 (TaBSiN 막) 을 들 수 있다.
B 의 함유율 1 at% 이상 5 at% 미만, 바람직하게는 1 ? 4.5 at%, 보다 바람직하게는 1.5 ? 4 at%
Si 의 함유율 1 ? 25 at%, 바람직하게는 1 ? 20 at%, 보다 바람직하게는 2 ? 12 at%
Ta 와 N 의 조성비 (Ta : N) 8 : 1 ? 1 : 1
Ta 의 함유율 바람직하게는 50 ? 90 at%, 보다 바람직하게는 60 ? 80 at%
N 의 함유율 바람직하게는 5 ? 30 at%, 보다 바람직하게는 10 ? 25 at%
상기 조성의 흡수층 (TaBSiN 막) 은, 그 결정 상태는 아모르퍼스이고, 표면의 평활성이 우수하다.
상기 조성의 흡수층 (TaBSiN 막) 이면, 흡수층 표면의 표면 거칠기를 0.5 ㎚ rms 이하로 할 수 있다. 흡수층 표면의 표면 거칠기가 크면 흡수층에 형성되는 패턴의 에지 러프니스가 커져, 패턴의 치수 정밀도가 나빠진다. 패턴이 미세해짐에 따라 에지 러프니스의 영향이 현저해지기 때문에, 흡수체 표면은 평활할 것이 요구된다.
흡수층 표면의 표면 거칠기가 0.5 ㎚ rms 이하이면, 흡수층 표면이 충분히 평활하기 때문에, 에지 러프니스의 영향에 의해 패턴의 치수 정밀도가 악화될 우려가 없다.
흡수층의 두께는, 50 ? 100 ㎚ 인 것이 바람직하다.
상기 조성의 흡수층 (TaBSiN 막) 은, 건식 성막법, 구체적으로는 마그네트론 스퍼터링법, 이온 빔 스퍼터링법 등의 스퍼터링법을 사용하여 형성할 수 있다. 마그네트론 스퍼터링법을 사용하는 경우, 하기 (1) ? (3) 의 방법으로 흡수층 (TaBSiN 막) 을 형성할 수 있다.
(1) Ta 타깃, B 타깃 및 Si 타깃을 사용하고, Ar 로 희석한 질소 (N2) 분위기 중에서 이들 개개의 타깃을 동시에 방전시킴으로써 흡수층 (TaBSiN 막) 을 형성한다.
(2) TaB 화합물 타깃 및 Si 타깃을 사용하고, 이들 타깃을 Ar 로 희석한 N2분위기 중에서 동시 방전시킴으로써 흡수층 (TaBSiN 막) 을 형성한다.
(3) TaBSi 화합물 타깃을 사용하고, 이 3 원소가 일체화된 타깃을 Ar 로 희석한 N2 분위기 중에서 방전시킴으로써 흡수층 (TaBSiN 막) 을 형성한다.
또한, 상기 서술한 방법 중, 2 이상의 타깃을 동시에 방전시키는 방법 ((1), (2)) 에서는, 각 타깃의 투입 전력을 조절함으로써, 형성되는 흡수층의 조성을 제어할 수 있다.
상기 중에서도 (2) 및 (3) 의 방법이, 방전의 불안정화나 막의 조성이나 막두께의 편차를 회피할 수 있는 점에서 바람직하고, (3) 의 방법이 특히 바람직하다. TaBSi 화합물 타깃은, 그 조성이 Ta = 50 ? 94 at%, Si = 5 ? 30 at%, B = 1 ? 20 at% 인 것이, 방전의 불안정화나 막의 조성이나 막두께의 편차를 회피할 수 있는 점에서 특히 바람직하다.
상기 예시한 방법으로 흡수층 (TaBSiN 막) 을 형성하려면, 구체적으로는 이하의 성막 조건으로 실시하면 된다.
TaB 화합물 타깃 Si 타깃을 사용하는 방법 (2)
스퍼터 가스 : Ar 과 N2 의 혼합 가스 (N2 가스 농도 3 ? 80 vol%, 바람직하게는 5 ? 30 vol%, 보다 바람직하게는 8 ? 15 vol%. 가스압 1.0 × 10-1㎩ ? 10 × 10-1 ㎩, 바람직하게는 1.0 × 10-1 ㎩ ? 5 × 10-1 ㎩, 보다 바람직하게는 1.0 × 10-1 ㎩ ? 3 × 10-1 ㎩)
투입 전력 (각 타깃에 대해) : 30 ? 1000 W, 바람직하게는 50 ? 750 W, 보다 바람직하게는 80 ? 500 W
성막 속도 : 2.0 ? 60 ㎚/sec, 바람직하게는 3.5 ? 45 ㎚/sec, 보다 바람직하게는 5 ? 30 ㎚/sec
TaBSi 화합물 타깃을 사용하는 방법 (3)
스퍼터 가스 : Ar 과 N2 의 혼합 가스 (N2 가스 농도 3 ? 80 vol%, 바람직하게는 5 ? 30 vol%, 보다 바람직하게는 8 ? 15 vol%. 가스압 1.0 × 10-1 ㎩ ? 10 × 10-1 ㎩, 바람직하게는 1.0 × 10-1 ㎩ ? 5 × 10-1 ㎩, 보다 바람직하게는 1.0 × 10-1 ㎩ ? 3 × 10-1 ㎩)
투입 전력 : 30 ? 1000 W, 바람직하게는 50 ? 750 W, 보다 바람직하게는 80 ? 500 W
성막 속도 : 2.0 ? 60 ㎚/sec, 바람직하게는 3.5 ? 45 ㎚/sec, 보다 바람직하게는 5 ? 30 ㎚/sec
실시예
이하에, 실시예를 사용하여 본 발명을 상세하게 설명한다. 단, 본 발명은 이것에 한정되는 것은 아니다.
(실시예 1)
실시예 1 에서는, 가로세로 152.4 ㎜ 의 유리 기판 (200) (두께는 6.3 ㎜) 을 도 1 ? 3 에 나타내는 유리 기판 유지 수단 (100) 으로 유지하였다. EUV 마스크 블랭크의 기판으로서 사용하는 경우, 가로세로 152.4 ㎜ 의 유리 기판의 품질 보증 영역은, 성막면측이 중앙 가로세로 148 ㎜ 이고, 이면측이 중앙 가로세로 146 ㎜ 이다. 유리 기판 (200) 은, 주성분을 SiO2 로 한 제로 팽창 유리 (SiO2-TiO2 계 유리) 제이고, 22 ℃ 에 있어서의 열팽창 계수가 0/℃ 이고, 비커스 경도 (HV) 가 650 이다.
정전 척 기구 (110) 의 최표층을 이루는 유전체층 (아피칼 (등록 상표명, 카네카사 제조)) 에 엠보싱 가공을 실시함으로써, 가로세로 150 ㎜ 의 상면 중, 폭 1 ㎜ 의 외주부에 높이 50 ㎛ 의 볼록부 (흡착 유지부 (111)) 가 형성되었다.
유리 기판 유지 수단 (100) 에 있어서, 마스크 (150) 의 개구부는 가로세로 150 ㎜ 이고, 그 마스크 (150) 에 장착된 기계적 척 기구의 가압부 (120) 및 위치 결정 수단 (130) 은 이하와 같다.
가압부 (120)
가압부 (120) 의 가압면과 유리 기판 (200) 의 성막면이 이루는 각도 : 45 도
재질 : PEEK (비커스 경도 (HV) = 30)
위치 결정 수단 (130)
위치 결정 수단 (130) 의 가이드면과 유리 기판 (200) 의 바닥면이 이루는 각도 : 50°
재질 : PEEK (비커스 경도 (HV) = 30)
도 4 의 (a) ? (e) 에 나타내는 순서에 따라, 유리 기판 (200) 의 이면을 정전 척 기구 (110) 에 의해 흡착 유지하였다. 즉, 로봇 암 (300) 을 사용하여 유리 기판 (200) 을 정전 척 기구 (110) 의 상방으로 반송하고, 유리 기판 (200) 의 저변을 위치 결정 수단 (130) 의 가이드면에 맞닿게 한 후, 유리 기판 (200) 을 자중에 의해 하강시킴으로써 정전 척 기구 (110) 와 유리 기판 (200) 의 위치 관계가 적절한 상태가 되도록 위치 결정하였다. 그 후, 유리 기판 (200) 이면의 네 귀퉁이를 지지 핀 (140) 으로 유지하고, 그 지지 핀 (140) 과 위치 결정 수단 (130) (실제로는 위치 결정 수단 (130) 이 장착된 마스크 (150)) 을 하강시켜 유리 기판 (200) 의 이면을 정전 척 기구 (110) 의 흡착 유지면 (흡착 유지부 (111) 의 상면)과 접촉시킴으로써, 유리 기판 (200) 을 정전 척 기구 (110) 로 흡착 유지하였다.
다음으로, 가압부 (120) 가 장착된 마스크 (150) 를 하강시킴으로써, 도 3 의 (A) 에 나타내는 바와 같이, 가압부 (120) 와 정전 척 (110) 의 볼록부 (111) 로 유리 기판 (200) 을 협지하였다.
정전 척 기구 (110) 에 의한 유리 기판 (200) 의 흡착 유지력, 및 기계적 척 기구의 가압부 (120) 에 의한 유리 기판의 유지력은, 각각 이하와 같았다.
정전 척 기구 (110) 에 의한 흡착 유지력 : 150 kgf
가압부 (120) 에 의한 유지력 : 100 kgf
(단위 면적당의 가압력 : 1 kgf/㎟)
EUV 마스크 블랭크 제조시에 실시되는 성막 프로세스시의 상황을 재현하기 위해, 유리 기판 유지 수단 (100) 을 30 rpm 으로 회전시키면서 30 분간 유지하였다.
상기 순서의 실시 전후에서의 유리 기판 (200) 의 위치 어긋남을 이하의 순서로 측정하였다.
유리 기판의 위치 어긋남 측정 방법 (1)
로봇 암 (300) 의 표면에 미리 눈금을 기입해 두고, 도 4 의 (a) 의 단계에서 사진을 촬영하였다. 이어서, 유리 기판 유지 수단 (100) 의 회전 종료 후, 로봇 암 (300) 을 사용하여, 유리 기판 유지 수단 (100) 으로부터 유리 기판 (200) 을 취출한 단계에서 다시 촬영하여 양자의 비교로부터 유리 기판 (200) 의 위치 어긋남의 유무를 판정하였다.
판정의 결과, 유리 기판 (200) 의 위치 어긋남은 0.5 ㎜ 미만으로, 검지되지 않았다.
상기 순서의 실시 후, 유리 기판 (200) 의 성막면 (상면) 및 이면에 있어서의 결점수를 이하의 순서로 측정하였다.
결점수의 측정 방법
유리 기판 유지 수단 (100) 으로부터 취출한 유리 기판 (200) 의 성막면 (상면) 및 이면에 대해, 시판되는 결함 검사 장치 (레이저테크사 제조 M1350) 로 200 ㎚ 이상의 결함수를 측정하였다. 여기서, 검사 영역은 152 ㎜□ 의 영역 전체로 하고, 성막면에 대해서는 기판 중앙의 148 ㎜□ 의 영역 (중심부) 과 그 148 ㎜□ 를 제외한 영역 (외주부) 에, 이면에 대해서는 기판 중앙의 144 ㎜□ 의 영역 (중심부) 과 그 144 ㎜□ 를 제외한 영역 (외주부) 을 대상으로 결점수를 각각 평가하였다. 그 결과, 성막면 및 외주부 (중심부 및 외주부 모두) 에 있어서의 200 ㎚ 이상의 결함수는 0 개였다.
(실시예 2)
정전 척 기구 (110) 에 의한 유리 기판 (200) 의 흡착 유지력, 및 기계적 척 기구의 가압부 (120) 에 의한 유리 기판의 유지력을 각각 이하와 같이 하였다. 또한, 가압부 (120) 의 유리 기판 (200) 과의 접촉 면적을 보다 작게 함으로써, 단위 면적당의 가압력을 변경하였다. 그 이외에는 실시예 1 과 동일한 순서를 실시하였다.
정전 척 기구 (110) 에 의한 흡착 유지력 : 50 kgf
가압부 (120) 에 의한 유지력 : 200 kgf
(단위 면적당의 가압력 : 20 kgf/㎟)
유리 기판 (200) 의 위치 어긋남은 0.5 ㎜ 미만으로, 검지되지 않았다. 또한, 유리 기판 (200) 의 성막면 및 이면 (중심부 및 외주부 모두) 에 있어서의 200 ㎚ 이상의 결함수는 0 개였다.
(비교예 1)
정전 척 기구 (110) 에 의한 유리 기판 (200) 의 흡착 유지력, 및 기계적 척 기구의 가압부 (120) 에 의한 유리 기판의 유지력을 각각 이하와 같이 한 것 이외에는 실시예 1 과 동일한 순서를 실시하였다.
정전 척 기구 (110) 에 의한 흡착 유지력 : 50 kgf
가압부 (120) 에 의한 유지력 : 100 kgf
(단위 면적당의 가압력 : 1 kgf/㎟)
상기 순서의 실시 전후에서 유리 기판 (200) 에 1 ㎜ 의 위치 어긋남이 관찰되었다. 유리 기판의 위치 어긋남이 관찰되었기 때문에, 결점수의 측정은 실시하지 않았다.
(비교예 2)
정전 척 기구 (110) 에 의한 유리 기판 (200) 의 흡착 유지력, 및 기계적 척 기구의 가압부 (120) 에 의한 유리 기판의 유지력을 각각 이하와 같이 하였다. 또한, 가압부 (120) 의 유리 기판 (200) 과의 접촉 면적을 보다 작게 함으로써, 단위 면적당의 가압력을 변경하였다. 그 이외에는 실시예 1 과 동일한 순서를 실시하였다.
정전 척 기구 (110) 에 의한 흡착 유지력 : 50 kgf
가압부 (120) 에 의한 유지력 : 100 kgf
(단위 면적당의 가압력 : 30 kgf/㎟)
상기 순서의 실시 전후에서 유리 기판 (200) 에 1.5 ㎜ 의 위치 어긋남이 관찰되었다. 유리 기판의 위치 어긋남이 관찰되었기 때문에, 결점수의 측정은 실시하지 않았다.
(비교예 3)
또한, 가압부 (120) 의 유리 기판 (200) 과의 접촉 면적을 보다 작게 함으로써, 단위 면적당의 가압력을 변경한 것 이외에는 실시예 1 과 동일한 순서를 실시하였다.
정전 척 기구 (110) 에 의한 흡착 유지력 : 150 kgf
가압부 (120) 에 의한 유지력 : 100 kgf
(단위 면적당의 가압력 : 30 kgf/㎟)
유리 기판 (200) 의 위치 어긋남은 0.5 ㎜ 미만으로, 검지되지 않았다. 그러나, 유리 기판 (200) 의 성막면 외주부에 있어서의 200 ㎚ 이상의 결함수가 20 개였다.
(비교예 4)
외주부에 볼록부를 형성하지 않은 정전 척 기구 (110) (이 경우, 정전 척 기구의 상면 전체가 흡착 유지면이 됨) 를 사용하고, 정전 척 기구 (110) 에 의한 유리 기판 (200) 의 흡착 유지력, 및 기계적 척 기구의 가압부 (120) 에 의한 유리 기판의 유지력을 각각 이하와 같이 한 것 이외에는 실시예 1 과 동일한 순서를 실시하였다.
정전 척 기구 (110) 에 의한 흡착 유지력 : 50 kgf
가압부 (120) 에 의한 유지력 : 100 kgf
(단위 면적당의 가압력 : 1 kgf/㎟)
상기 순서의 실시 전후에서 유리 기판 (200) 에 1.5 ㎜ 의 위치 어긋남이 관찰되었다. 유리 기판의 위치 어긋남이 관찰되었기 때문에, 결점수의 측정은 실시하지 않았다.
(비교예 5)
또한, 가압부 (120) 의 유리 기판 (200) 과의 접촉 면적을 보다 작게 함으로써, 단위 면적당의 가압력을 변경한 것 이외에는 비교예 4 와 동일한 순서를 실시하였다.
정전 척 기구 (110) 에 의한 흡착 유지력 : 50 kgf
가압부 (120) 에 의한 유지력 : 100 kgf
(단위 면적당의 가압력 : 30 kgf/㎟)
상기 순서의 실시 전후에서 유리 기판 (200) 에 1.5 ㎜ 의 위치 어긋남이 관찰되었다. 유리 기판의 위치 어긋남이 관찰되었기 때문에, 결점수의 측정은 실시하지 않았다.
(비교예 6)
정전 척 기구 (110) 에 의한 유리 기판 (200) 의 흡착 유지력, 및 기계적 척 기구의 가압부 (120) 에 의한 유리 기판의 유지력을 각각 이하와 같이 한 것 이외에는 비교예 4 와 동일한 순서를 실시하였다.
정전 척 기구 (110) 에 의한 흡착 유지력 : 150 kgf
가압부 (120) 에 의한 유지력 : 100 kgf
(단위 면적당의 가압력 : 1 kgf/㎟)
유리 기판 (200) 의 위치 어긋남은 0.5 ㎜ 미만으로, 검지되지 않았다. 그러나, 유리 기판 (200) 의 이면 중심부에 있어서의 200 ㎚ 이상의 결함수가 220 개였다.
(비교예 7)
또한, 가압부 (120) 의 유리 기판 (200) 과의 접촉 면적을 보다 작게 함으로써, 단위 면적당의 가압력을 변경한 것 이외에는 비교예 5 와 동일한 순서를 실시하였다.
정전 척 기구 (110) 에 의한 흡착 유지력 : 150 kgf
가압부 (120) 에 의한 유지력 : 100 kgf
(단위 면적당의 가압력 : 30 kgf/㎟)
유리 기판 (200) 의 위치 어긋남은 0.5 ㎜ 미만으로, 검지되지 않았다. 그러나, 유리 기판 (200) 의 이면 중심부에 있어서의 200 ㎚ 이상의 결함수가 112 개, 성막면 외주부에 있어서의 200 ㎚ 이상의 결함수가 11 개였다.
(참고예 1)
본 예에서는 위치 결정 수단 (130) 에 의한 위치 결정 효과를 평가하였다. 구체적으로는, 실시예 1 과 동일하게, 도 4 의 (a) ? (e) 에 나타내는 순서에 따라, 로봇 암 (300) 을 사용하여 유리 기판 (200) 을 정전 척 기구 (110) 의 상방으로 반송하고, 유리 기판 (200) 의 저변을 위치 결정 수단 (130) 의 가이드면에 맞닿게 한 후, 유리 기판 (200) 을 자중에 의해 하강시킴으로써 정전 척 기구 (110) 와 유리 기판 (200) 의 위치 관계가 적절한 상태가 되도록 위치 결정하였다. 그 후, 유리 기판 (200) 이면의 네 귀퉁이를 지지 핀 (140) 으로 유지하고, 지지 핀 (140) 과 위치 결정 수단 (130) (실제로는 위치 결정 수단 (130) 이 장착된 마스크 (150)) 을 하강시키고 그 지지 핀 (140) 을 하강시켜 유리 기판 (200) 의 이면을 정전 척 기구 (110) 의 흡착 유지면 (흡착 유지부 (111) 의 상면) 과 접촉시킴으로써, 때의 유리 기판 (200) 의 위치 어긋남을 이하의 순서로 측정하였다.
유리 기판의 위치 어긋남 측정 방법 (2)
실시예 1 과 동일하게, 유리 기판 (200) 을 정전 척 기구 (110) 와 기계적 척 기구로 유지한 상태로, 식별 번호〈0164〉및〈0165〉에 기재하는 순서로 유리 기판 (200) 의 성막면에 Mo/Si 다층 반사막을 형성하였다. 여기서, 정전 척 기구 (110) 에 의한 유리 기판 (200) 의 흡착 유지력, 가압부 (120) 에 의한 유리 기판의 유지력, 및 가압부 (120) 에 의한 단위 면적당의 가압력은 실시예 1 과 동일하고, Mo/Si 다층 반사막의 형성시, 유리 기판 (200) 은 유리 기판 유지 수단마다 30 rpm 으로 회전시켰다. Mo/Si 다층 반사막의 형성 후, 유리 기판 (200) 의 성막면측을 사진으로 촬영하였다. 유리 기판 (200) 의 외형의 직사각형과, 성막 에어리어의 직사각형이, 도 1 에 있어서의 상하 방향?좌우 방향에서 평행 또한 등간격인지를 화상 해석 평가하여 위치 어긋남의 유무를 판정하였다.
판정의 결과, 유리 기판 (200) 의 외형의 직사각형과 성막 에어리어의 직사각형은 평행이고, 도 1 에 있어서의 상하 방향?좌우 방향의 간격의 차이는 전부 0.05 ㎜ 미만이었다.
(참고예 2)
도 1 에 있어서, 도면 중 상측과 좌측의 2 지점에만 위치 결정 수단을 형성한 유리 기판 유지 수단을 사용하여, 참고예 1 과 동일한 순서를 실시하였다.
유리 기판 (200) 의 외형의 직사각형과 성막 에어리어의 직사각형은 평행이고, 도 1 에 있어서의 상하 방향?좌우 방향의 간격의 차이는 전부 0.05 ㎜ 미만이었다.
(참고예 3)
가이드면과 유리 기판의 바닥면이 이루는 각도가 5°인 위치 결정 수단을 형성한 유리 기판 유지 수단을 사용하여, 참고예 1 과 동일한 순서를 실시하였다.
유리 기판 (200) 의 외형의 직사각형과 성막 에어리어의 직사각형은 평행이고, 도 1 에 있어서의 상하 방향?좌우 방향의 간격의 차이는 전부 0.05 ㎜ 미만이었다.
(참고예 4)
가이드면과 유리 기판의 바닥면이 이루는 각도가 80°인 위치 결정 수단을 형성한 유리 기판 유지 수단을 사용하여, 참고예 1 과 동일한 순서를 실시하였다.
유리 기판 (200) 의 외형의 직사각형과 성막 에어리어의 직사각형은 평행이고, 상하 방향?좌우 방향의 간격의 차이는 전부 0.05 ㎜ 미만이었다.
(참고예 5)
도 1 에 있어서, 도면 중 상측과 하측의 2 지점에만 위치 결정 수단을 형성한 유리 기판 유지 수단을 사용하여, 참고예 1 과 동일한 순서를 실시하였다.
유리 기판 (200) 의 외형의 직사각형과 성막 에어리어의 직사각형은 평행이었다. 도 1 에 있어서의 상하 방향의 간격의 차이는 0.05 ㎜ 미만이었지만, 좌우 방향의 간격의 차이는 0.6 ㎜ 였다.
(참고예 6)
도 1 에 있어서, 도면 중 상측 1 지점에만 위치 결정적 수단을 형성한 유리 기판 유지 수단을 사용하여, 참고예 1 과 동일한 순서를 실시하였다.
유리 기판 (200) 의 외형의 직사각형과 성막 에어리어의 직사각형은 평행이었다. 도 1 에 있어서의 상하 방향의 간격의 차이는 0.05 ㎜ 미만이었지만, 좌우 방향의 간격의 차이는 0.9 ㎜ 였다.
(참고예 7)
가이드면과 유리 기판의 바닥면이 이루는 각도가 3°인 위치 결정 수단을 형성한 유리 기판 유지 수단을 사용하여, 참고예 1 과 동일한 순서를 실시하였다.
유리 기판 (200) 의 외형의 직사각형과 성막 에어리어의 직사각형은 약간 평행으로부터 벗어나 있고, 도 1 에 있어서의 상하?좌우 방향의 간격의 차이는 최대 0.3 ㎜ 였다.
(참고예 8)
도 1 에 있어서, 도면 중 상측과 좌측의 2 지점에만 위치 결정 수단을 형성하고, 또한, 유리 기판 (200) 의 위치 결정 수단의 가이드면과 맞닿는 부분의 단부와 유리 기판의 단부의 거리를 7.6 ㎜ 로 한 유리 기판 유지 수단을 사용하여, 참고예 1 과 동일한 순서를 실시하였다.
유리 기판 (200) 의 외형의 직사각형과 성막 에어리어의 직사각형은 약간 평행으로부터 벗어나 있고, 도 1 에 있어서의 상하?좌우 방향의 간격의 차이는 최대 0.6 ㎜ 였다.
100 : 유리 기판 유지 수단
110 : 정전 척 기구
111 : 흡착 유지부
120 : 가압부
130 : 위치 결정 수단
140 : 지지 핀
150 : 마스크부
200 : 유리 기판
300 : 기판 반송용 암

Claims (11)

  1. EUV 리소그래피 (EUVL) 용 반사형 마스크 블랭크의 제조시에 사용되는 유리 기판의 유지 수단으로서,
    상기 유리 기판의 유지 수단은,
    유리 기판의 이면의 일부를 흡착 유지하는 정전 척 기구와,
    가압부를 갖고, 상기 가압부로 상기 유리 기판의 성막면측의 일부를 가압함으로써, 상기 유리 기판을 성막면측 및 이면측으로부터 협지하여 유지하는 기계적 척 기구를 갖고,
    상기 정전 척 기구에 의한 상기 유리 기판의 피흡착 유지부, 및 상기 기계적 척 기구에 의한 상기 유리 기판의 피가압부가, 각각 상기 유리 기판의 성막면 및 이면의 품질 보증 영역보다 외측에 있고,
    상기 정전 척 기구에 의한 상기 유리 기판의 흡착 유지력과, 상기 기계적 척 기구에 의한 상기 유리 기판의 유지력의 합이, 200 kgf 이상이고,
    상기 기계적 척 기구에 의한 상기 유리 기판의 단위 면적당의 가압력이, 25 kgf/㎟ 이하인 것을 특징으로 하는 유리 기판 유지 수단.
  2. 제 1 항에 있어서,
    상기 가압부의 가압면과 상기 유리 기판의 성막면이 이루는 각도가 5 ? 70°인 것을 특징으로 하는 유리 기판 유지 수단.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 기계적 척 기구의 상기 가압부가, 상기 유리 기판보다 경도가 낮은 재료로 제작되어 있는 것을 특징으로 하는 유리 기판 유지 수단.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    평면 형상이 직사각형인 유리 기판을 유지하는 수단으로서, 상기 기계적 척 기구에 의한 상기 유리 기판의 피가압부가 2 지점 이상 존재하고, 상기 피가압부 중 적어도 2 개가 각각, 유리 기판의 성막면의 외측 가장자리를 이루는 4 변 중 대향하는 2 변을 포함하는 위치, 혹은, 상기 2 변에 근접하는 위치에 존재하는 것을 특징으로 하는 유리 기판 유지 수단.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    평면 형상이 직사각형인 유리 기판을 유지하는 수단으로서, 또한 하기를 만족하는 기판의 위치 결정 수단을 갖는 것을 특징으로 하는 유리 기판 유지 수단.
    (1) 상기 위치 결정 수단은, 상기 유리 기판의 측면 및 바닥면에 대해 경사진 가이드면 혹은 가이드 만곡면을 갖고, 상기 유리 기판 유지 수단에 유리 기판을 재치할 때에, 상기 가이드면 혹은 가이드 만곡면이, 상기 유리 기판의 측면과 바닥면의 경계를 이루는 변과 맞닿음.
    (2) 상기 위치 결정 수단은, 기판의 측면과 바닥면의 경계를 이루는 4 변 중, 직교하는 2 변에 대해 적어도 1 개씩 형성되어 있음.
    (3) 상기 위치 결정 수단의 가이드면 혹은 가이드 만곡면과, 상기 유리 기판의 측면과 바닥면의 경계를 이루는 변이 맞닿았을 때에, 상기 가이드면 혹은 가이드 만곡면과 상기 유리 기판의 바닥면이 이루는 각도가 5°이상 90°미만임.
  6. 제 5 항에 있어서,
    상기 직교하는 2 변에 대해 상기 위치 결정 수단이 1 개씩 형성되어 있고,
    상기 위치 결정 수단이 형성된 변의 길이를 L (㎜) 로 할 때, 상기 변 중, 상기 가이드면 혹은 가이드 만곡면과 맞닿는 부분의 단부와 상기 변의 단부의 거리가 0.1 L 이상인 것을 특징으로 하는 유리 기판 유지 수단.
  7. 제 5 항 또는 제 6 항에 있어서,
    상기 위치 결정 수단이 상기 유리 기판보다 경도가 낮은 재료로 제작되어 있는 유리 기판 유지 수단.
  8. 유리 기판의 일방의 면에 EUV 광을 반사하는 반사층이 형성된 EUV 리소그래피 (EUVL) 용 반사층이 부착된 기판의 제조 방법으로서,
    건식 성막법에 의해, 상기 유리 기판 상에 상기 반사층을 형성할 때에, 제 1 항 내지 제 4 항 중 어느 한 항에 기재된 유리 기판 유지 수단을 사용하여 유리 기판을 유지하는 것을 특징으로 하는 EUVL 용 반사층이 부착된 기판의 제조 방법.
  9. 유리 기판의 일방의 면에 EUV 광을 반사하는 반사층, 및 EUV 광을 흡수하는 흡수층이 적어도 이 순서대로 형성된 EUV 리소그래피 (EUVL) 용 반사형 마스크 블랭크의 제조 방법으로서,
    건식 성막법에 의해, 상기 유리 기판 상에 상기 반사층 및 상기 흡수층 중 적어도 1 개를 형성할 때에, 제 1 항 내지 제 4 항 중 어느 한 항에 기재된 유리 기판 유지 수단을 사용하여 유리 기판을 유지하는 것을 특징으로 하는 EUVL 용 반사형 마스크 블랭크의 제조 방법.
  10. 유리 기판의 일방의 면에 EUV 광을 반사하는 반사층이 형성된 EUV 리소그래피용 (EUVL) 용 반사층이 부착된 기판의 제조 방법으로서,
    건식 성막법에 의해, 상기 유리 기판 상에 상기 반사층을 형성할 때에, 제 5 항 내지 제 7 항 중 어느 한 항에 기재된 유리 기판 유지 수단을 사용하여 상기 유리 기판을 유지하고, 상기 유리 기판 유지 수단에 유리 기판을 재치할 때에, 상기 가이드면 혹은 가이드 만곡면을 상기 유리 기판의 측면과 바닥면의 경계를 이루는 변과 맞닿게 함으로써, 상기 유리 기판 유지 수단과 상기 유리 기판의 위치 결정을 실시하는 것을 특징으로 하는 EUVL 용 반사층이 부착된 기판의 제조 방법.
  11. 유리 기판의 일방의 면에 EUV 광을 반사하는 반사층, 및 EUV 광을 흡수하는 흡수층이 적어도 이 순서대로 형성된 EUV 리소그래피 (EUVL) 용 반사형 마스크 블랭크의 제조 방법으로서,
    건식 성막법에 의해, 상기 유리 기판 상에 상기 반사층 및 상기 흡수층 중 적어도 1 개를 형성할 때에, 제 5 항 내지 제 7 항 중 어느 한 항에 기재된 유리 기판 유지 수단을 사용하여 상기 유리 기판을 유지하고, 상기 유리 기판 유지 수단에 유리 기판을 재치할 때에, 상기 가이드면 혹은 가이드 만곡면을 상기 유리 기판의 측면과 바닥면의 경계를 이루는 변과 맞닿게 함으로써, 상기 유리 기판 유지 수단과 상기 유리 기판의 위치 결정을 실시하는 것을 특징으로 하는 EUVL 용 반사형 마스크 블랭크의 제조 방법.
KR1020110135582A 2011-01-18 2011-12-15 유리 기판 유지 수단, 및 그것을 사용한 euv 마스크 블랭크의 제조 방법 KR20120083842A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2011-007760 2011-01-18
JP2011007760A JP5609663B2 (ja) 2011-01-18 2011-01-18 ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法

Publications (1)

Publication Number Publication Date
KR20120083842A true KR20120083842A (ko) 2012-07-26

Family

ID=46490967

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110135582A KR20120083842A (ko) 2011-01-18 2011-12-15 유리 기판 유지 수단, 및 그것을 사용한 euv 마스크 블랭크의 제조 방법

Country Status (4)

Country Link
US (1) US8967608B2 (ko)
JP (1) JP5609663B2 (ko)
KR (1) KR20120083842A (ko)
SG (1) SG182910A1 (ko)

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102615605A (zh) * 2011-01-31 2012-08-01 进准光学(江苏)有限公司 夹具
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102047001B1 (ko) * 2012-10-16 2019-12-03 삼성디스플레이 주식회사 정전 척
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP2014167963A (ja) * 2013-02-28 2014-09-11 Toshiba Corp 静電チャック、レチクル、および静電チャック方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP7012454B2 (ja) * 2017-04-27 2022-01-28 株式会社岡本工作機械製作所 静電吸着チャックの製造方法並びに半導体装置の製造方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP2020163529A (ja) * 2019-03-29 2020-10-08 株式会社荏原製作所 基板を保持するための研磨ヘッドおよび基板処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4412133A (en) * 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) * 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
JP2678381B2 (ja) * 1987-05-06 1997-11-17 ユニサーチ・リミテッド 交流電界励振を利用した静電チャック
US5166856A (en) * 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5535507A (en) * 1993-12-20 1996-07-16 International Business Machines Corporation Method of making electrostatic chuck with oxide insulator
US5474614A (en) * 1994-06-10 1995-12-12 Texas Instruments Incorporated Method and apparatus for releasing a semiconductor wafer from an electrostatic clamp
JP3598479B2 (ja) * 1996-03-14 2004-12-08 株式会社オーク製作所 露光装置および露光装置における位置決め方法
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
DE10314212B4 (de) 2002-03-29 2010-06-02 Hoya Corp. Verfahren zur Herstellung eines Maskenrohlings, Verfahren zur Herstellung einer Transfermaske
JP2005301304A (ja) 2002-03-29 2005-10-27 Hoya Corp マスクブランク用基板、マスクブランク、および転写用マスク
JP2004153122A (ja) * 2002-10-31 2004-05-27 Nikon Corp 露光装置
JP2004335513A (ja) * 2003-04-30 2004-11-25 Nikon Corp レチクルの保持方法、保持装置及び露光装置
JP2005077845A (ja) 2003-09-01 2005-03-24 Hoya Corp スパッタリング装置、薄膜付き基板の製造方法、マスクブランクスの製造方法及び転写マスクの製造方法
JP4393150B2 (ja) * 2003-10-01 2010-01-06 キヤノン株式会社 露光装置
US20050238922A1 (en) 2003-12-25 2005-10-27 Hoya Corporation Substrate with a multilayer reflection film, reflection type mask blank for exposure, reflection type mask for exposure and methods of manufacturing them
JP2005210093A (ja) 2003-12-25 2005-08-04 Hoya Corp 多層反射膜付き基板、露光用反射型マスクブランクス及び露光用反射型マスク、並びにそれらの製造方法
JP2006013208A (ja) * 2004-06-28 2006-01-12 Canon Inc 露光装置
DE102004038548A1 (de) 2004-08-06 2006-03-16 Schott Ag Verfahren zur Herstellung eines Maskenblank für photolithographische Anwendungen und Maskenblank
JPWO2008007521A1 (ja) * 2006-07-11 2009-12-10 株式会社ニコン レチクル保持部材、レチクル・ステージ、露光装置、投影露光方法およびデバイス製造方法
JP2009176776A (ja) * 2008-01-21 2009-08-06 Nikon Corp 保持装置、露光装置及びデバイスの製造方法
JP5166058B2 (ja) 2008-02-05 2013-03-21 信越化学工業株式会社 基板保持具および基板閃光照射方法
JP2009267113A (ja) 2008-04-25 2009-11-12 Shin Etsu Chem Co Ltd 基板支持装置およびこれを備えた閃光照射システム
CN102067303B (zh) * 2009-02-18 2012-11-28 株式会社爱发科 晶片搬送用托盘以及在该托盘上固定晶片的方法
EP2555052A4 (en) * 2010-04-02 2017-12-13 Shin-Etsu Chemical Co., Ltd. Photomask unit and method of manufacturing same
US8192901B2 (en) * 2010-10-21 2012-06-05 Asahi Glass Company, Limited Glass substrate-holding tool

Also Published As

Publication number Publication date
SG182910A1 (en) 2012-08-30
JP2012151218A (ja) 2012-08-09
JP5609663B2 (ja) 2014-10-22
US20120183683A1 (en) 2012-07-19
US8967608B2 (en) 2015-03-03

Similar Documents

Publication Publication Date Title
KR20120083842A (ko) 유리 기판 유지 수단, 및 그것을 사용한 euv 마스크 블랭크의 제조 방법
US8192901B2 (en) Glass substrate-holding tool
JP5469041B2 (ja) 微細構造転写方法およびその装置
KR101287697B1 (ko) Euv 리소그래피용 반사형 마스크 블랭크
KR101857844B1 (ko) 도전막이 형성된 기판, 다층 반사막이 형성된 기판, 및 euv 리소그래피용 반사형 마스크 블랭크
JP5065517B2 (ja) 基板テーブル、および基板リリース特性を向上させる方法
JP6282260B2 (ja) 微粒子汚染測定方法及び装置
WO2013186929A1 (ja) マスク保護装置、露光装置、及びデバイス製造方法
JP2011124612A (ja) 多層反射膜付き基板、露光用反射型マスクブランクス及び露光用反射型マスク、並びにそれらの製造方法
TW202109173A (zh) 附導電膜之基板、附多層反射膜之基板、反射型光罩基底、反射型光罩及半導體裝置之製造方法
JP2005210093A (ja) 多層反射膜付き基板、露光用反射型マスクブランクス及び露光用反射型マスク、並びにそれらの製造方法
US11537039B2 (en) Photomask assembly with reflective photomask and method of manufacturing a reflective photomask
KR20060119798A (ko) Euv 노광용 마스크 블랭크스 및 그 제조 방법, euv노광용 마스크
WO2013083332A1 (en) Method for a patterning device support
JP6148796B2 (ja) ペリクルマウント装置
US8456618B2 (en) Stage apparatus, exposure apparatus, and method of manufacturing device
JP5724657B2 (ja) ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法
JP5533395B2 (ja) Euvリソグラフィ用反射型マスクブランクの製造方法
WO2007055401A1 (en) Method for depositing reflective multilayer film of reflective mask blank for euv lithography and method for producing reflective mask blank for euv lithography
JP2019220526A (ja) 型を用いて基板上の組成物を成形する成形装置、成形方法、および、物品の製造方法
JP5772499B2 (ja) Euvリソグラフィ(euvl)用反射型マスクブランクの製造方法およびeuvl用反射層付基板の製造方法
JP2021118323A (ja) 静電チャッククリーナー及び静電チャックのクリーニング方法
JP2004273705A (ja) 露光装置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid