KR20110126617A - 포토마스크, 포토마스크의 제조 방법 및 수정 방법 - Google Patents

포토마스크, 포토마스크의 제조 방법 및 수정 방법 Download PDF

Info

Publication number
KR20110126617A
KR20110126617A KR1020117018799A KR20117018799A KR20110126617A KR 20110126617 A KR20110126617 A KR 20110126617A KR 1020117018799 A KR1020117018799 A KR 1020117018799A KR 20117018799 A KR20117018799 A KR 20117018799A KR 20110126617 A KR20110126617 A KR 20110126617A
Authority
KR
South Korea
Prior art keywords
pattern
film
photomask
auxiliary pattern
main
Prior art date
Application number
KR1020117018799A
Other languages
English (en)
Other versions
KR101420907B1 (ko
Inventor
다까하루 나가이
히데요시 다까미자와
히로시 모오리
야스따까 모리까와
가쯔야 하야노
Original Assignee
다이니폰 인사츠 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2009033216A external-priority patent/JP5104774B2/ja
Priority claimed from JP2009207682A external-priority patent/JP5104832B2/ja
Application filed by 다이니폰 인사츠 가부시키가이샤 filed Critical 다이니폰 인사츠 가부시키가이샤
Publication of KR20110126617A publication Critical patent/KR20110126617A/ko
Application granted granted Critical
Publication of KR101420907B1 publication Critical patent/KR101420907B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/28Phase shift masks [PSM]; PSM blanks; Preparation thereof with three or more diverse phases on the same PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되고, 보조 패턴으로서의 초점 심도 확대 효과를 유지하면서, 보조 패턴을 해상시키지 않고, 주패턴의 콘트라스트가 높은 전사 화상을 형성할 수 있는 보조 패턴을 갖는 하프톤 마스크 및 그의 제조 방법을 제공한다. 포토마스크가 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 주패턴의 근방에 형성되고 전사되지 않는 보조 패턴을 설치한 포토마스크로서, 주패턴과 보조 패턴이 동일 재료로 이루어지는 반투명막으로 구성되어 있고, 주패턴을 투과하는 광과 투명 기판의 투명 영역을 투과하는 광에 180도의 위상차를 발생시키고, 또한 보조 패턴을 투과하는 광과 투명 기판의 투명 영역을 투과하는 광에 70도 내지 115도 범위의 소정의 위상차를 발생시키는 것을 특징으로 한다.

Description

포토마스크, 포토마스크의 제조 방법 및 수정 방법{PHOTOMASK AND METHODS FOR MANUFACTURING AND CORRECTING PHOTOMASK}
본 발명은 반도체 소자의 패턴 형성에 사용되는 엑시머 레이저 노광 장치 등의 단파장의 노광 광원을 사용한 포토리소그래피 기술에 사용하기 위한 포토마스크 및 그의 제조 방법, 및 포토마스크의 수정 방법 및 수정된 포토마스크에 관한 것으로서, 특히, 주패턴의 근방에 보조 패턴을 배치한 하프톤형의 포토마스크 및 그의 제조 방법, 및 포토마스크의 수정 방법 및 수정된 포토마스크에 관한 것이다.
하프 피치 65 nm로부터 45 nm, 나아가서는 32 nm로 발전하는 반도체 소자의 고집적화·초미세화를 실현하기 위해서, 포토리소그래피에 있어서는, 노광 장치에서의 고해상 기술로서, 투영 렌즈의 개구수를 높게 한 고NA화 기술, 투영 렌즈와 노광 대상의 사이에 고굴절률 매체를 개재시켜서 노광을 행하는 액침 노광 기술, 변형 조명 탑재 노광 기술 등이 실용화되어 있다.
포토리소그래피에 사용되는 포토마스크(이하, 마스크라고도 기재함)에 있어서의 해상도 향상책으로서는, 광을 통과시키는 부분과 차광하는 부분으로 구성된 종래의 바이너리 마스크의 미세화, 고정밀도화와 함께, 광의 간섭을 이용한 위상 쉬프트 효과에 의해 해상도 향상을 도모하는 레벤손형(시부야·레벤손형이라고도 칭함) 위상 쉬프트 마스크, 광을 투과시키는 부분과 반투과시키는 부분으로 구성된 하프톤형 위상 쉬프트 마스크(이후, 간단히 하프톤 마스크라고 함), 크롬 등의 차광층을 설치하지 않은 크롬레스형 위상 쉬프트 마스크 등의 위상 쉬프트 마스크가 사용되고 있다.
포토리소그래피 기술에 있어서는, 투영 노광 장치로 전사할 수 있는 최소의 치수(해상도)는 노광에 사용하는 광의 파장에 비례하고, 투영 광학계의 렌즈의 개구수(NA)에 반비례하기 때문에, 반도체 소자의 미세화로의 요구에 수반하여, 노광광의 단파장화 및 투영 광학계의 고NA화가 진행되고 있지만, 단파장화 및 고NA화만으로 이 요구를 만족시키기에는 한계가 있다.
따라서 해상도를 높이기 위해서, 프로세스 상수 k1(k1=해상 선폭×투영 광학계의 개구수/노광광의 파장)의 값을 작게 함으로써 미세화를 도모하는 초해상 기술이 최근 제안되어 있다. 이러한 초해상 기술로서, 노광 광학계의 특성에 따라서 마스크 패턴에 보조 패턴이나 선폭 오프셋을 부여해서 마스크 패턴을 최적화하는 방법, 혹은 변형 조명에 의한 방법(사입사 조명법이라고도 칭함)이라고 불리는 방법 등이 있다. 변형 조명에 의한 투영 노광에는, 통상, 퓨필 필터를 사용한 윤대 조명(애뉼러: Annular라고도 칭함), 이중극(다이폴: Dipole이라고도 칭함)의 퓨필 필터를 사용한 이중극 조명 및 사중극(크로스쿼드: Cquad라고도 칭함)의 퓨필 필터를 사용한 사중극 조명 등이 사용되고 있다.
보조 패턴을 사용하는 방법은, 웨이퍼 상에 전사되는 패턴(이후, 주패턴이라고 칭함)의 근방에 투영 광학계의 해상 한계 이하로서 웨이퍼 상에는 전사되지 않는 패턴(이후, 보조 패턴이라고 칭함)을 배치하고, 주패턴의 해상도와 초점 심도를 향상시키는 효과를 갖는 포토마스크를 사용하는 리소그래피 방법이다(예를 들어, 특허문헌 1 참조.). 보조 패턴은 SRAF(Sub Resolution Assist Feature)라고도 불리고 있다(이후, 본 발명에서는 보조 패턴을 SRAF라고도 칭함).
그러나, 반도체 소자 패턴의 미세화에 수반하여, 보조 패턴을 갖는 포토마스크는 마스크 제작상 곤란한 점이 발생해 왔다. 우선, 보조 패턴은 상술한 바와 같이 그것 자체가 웨이퍼 상에 결상하지 않을 필요가 있어서, 주패턴의 치수보다도 미소한 치수이어야만 하는 점을 들 수 있다. 그 결과, 주패턴 치수의 미세화에 수반하여, 요구되는 보조 패턴의 선폭 치수는 수 100 nm로부터 더욱 미소한 치수로 미소화하고 있어, 제작상의 한계의 영역에 접근하고 있다. 예를 들어, 웨이퍼 상에서 65 nm 선폭의 반도체 소자를 형성하는 경우, 그의 마스크(통상 4배체의 패턴을 갖는 레티클) 상의 주패턴의 선폭 치수는 광 근접 효과 보정(OPC) 등이 가해져, 200 nm 내지 400 nm 정도로 형성되어 있는 것에 비해, 보조 패턴의 선폭 치수는 120 nm 이하가 되어, 마스크 제작이 지극히 어려워진다. 상기한 바와 같이 하프 피치 65 nm 이하의 패턴을 전사하는 노광 조건에서는, 보조 패턴의 치수가 마스크 제조상의 큰 문제가 되고 있다.
또한, 하프 피치 65 nm 이하의 패턴을 전사하는 마스크의 전사 특성으로서는, 후술하는 바와 같이, 하프톤 마스크 쪽이 바이너리 마스크보다도 양호한 전사 상이 얻어지는 경우가 많으므로, 보조 패턴을 갖는 마스크를 하프톤 마스크의 구조로 하는 요망도 강하여, 보조 패턴을 갖는 하프톤 마스크도 제안되어 있다(예를 들어, 특허문헌 2, 특허문헌 3, 비특허문헌 1 참조.). 그러나, 하프톤 마스크는 전사 특성으로부터, 통상, 마스크 패턴 치수에 마이너스 측의 바이어스가 생기므로, 하프톤 마스크로서 반투명막으로 형성된 보조 패턴의 치수는, 차광막만으로 형성된 바이너리 마스크의 보조 패턴의 치수보다도 작은 값이 요구된다. 반도체 소자의 하프 피치 45 nm 내지 32 nm의 세대에서는, 반도체의 디자인이나 노광 조건에 따라서는 마스크 선폭에서 60 nm 이하의 보조 패턴 치수가 요구되기까지 되었다.
또한, 보조 패턴의 미세화에 수반하여, 세정 등의 마스크 제조 공정에 있어서, 혹은 노광 장치에서 사용 중에 더럽혀진 마스크를 재세정하는 경우에 있어서, 종래의 보조 패턴을 설치한 하프톤 마스크는 보조 패턴의 종횡비(패턴 높이/패턴폭)이 1에 근접하여, 보조 패턴의 일부가 부족하거나, 보조 패턴이 기판 표면으로부터 박리되거나, 보조 패턴이 그의 선폭 방향으로 쓰러지거나 하는 현상이 발생한다는 문제도 발생하고 있었다.
특허문헌 2에는, 하프톤 마스크에 의한 보조 패턴의 미세화에 대한 대응으로서, 반투명 패턴을 투과하는 광과 투명 기판의 투명 영역을 투과하는 광에 180도의 위상차를 발생시키고, 또한 반투명 보조 패턴을 투과하는 광과 투명 기판의 투명 영역을 투과하는 광에는 50도보다 작은 범위의 소정의 위상차를 발생시키고, 반투명 패턴의 포커스 특성을 평탄하게 하는 포토마스크가 제안되어 있다. 도 24는 특허문헌 2에 나타내어진 포토마스크의 평면도(동 도면의 (a)), 종단면도(동 도면의 (b))이다. 특허문헌 2에 의한 포토마스크는 주패턴인 라인 패턴의 근방에 설치한 보조 패턴을 주패턴과 동일 치수로 형성하는 것도 가능하게 하고 있다.
특허문헌 2에 기재된 보조 패턴을 갖는 하프톤 마스크는 도 24에 도시하는 바와 같이, 주패턴(1)인 반투명 패턴의 선폭이 웨이퍼 상에서 0.3 ㎛의 라인 패턴, 반투명 보조 패턴(2)이 주패턴(1)의 좌우로 동일한 선폭의 라인 패턴으로 설치된 마스크에서, 주패턴(1)은 반투명막(302) 상에 추가로 투명막(304)을 성막해서 중첩하여 2층 구성으로 하고, 2층막으로 이루어지는 반투명 주패턴(1)을 투과하는 광과 투명 기판(301)의 투명 영역을 투과하는 광에 180도의 위상차를 발생시키고, 한편, 반투명 보조 패턴(2)을 투과하는 광과 투명 기판(301)의 투명 영역을 투과하는 광에 50도보다 작은 범위의 소정의 위상차를 발생시키고, 반투명 패턴의 포커스 특성을 평탄하게 한 마스크이다.
일본 특허 공개 평7-140639호 공보 일본 특허 제2953406호 일본 특허 공개 제2003-302739호 공보
N. V. Lafferty, et al., Proc. of SPIE Vol. 5377, 381-392(2004)
그러나, 특허문헌 2에 기재된 보조 패턴을 갖는 하프톤 마스크는 노광 광원으로 수은등의 i선(365 nm) 혹은 KrF 엑시머 레이저(248 nm)를 사용하고, 투영 광학계의 개구수 NA가 0.6으로 작고, 웨이퍼 상의 패턴 치수가 0.3 내지 0.35 ㎛인 서브마이크로미터 단위의 반도체 소자를 대상으로 한 세대의 마스크로서, 현재 실용화가 진행되고 있는 ArF 엑시머 레이저를 노광 광원으로 하고, NA를 1 이상, 바람직하게는 1.3 내지 1.35 전후의 고NA의 노광 장치에 사용되고, 웨이퍼 상의 패턴 치수가 하프 피치 65 nm 이하, 나아가 45 nm, 32 nm의 반도체 소자용의 마스크로서 사용하기 위해서는 다음과 같은 문제가 있었다.
즉, 프로세스 상수 k1이 작아짐에 따라, 주패턴의 해상성을 향상시키기 위해서 변형 조명이 사용되는데, 그에 수반하여 보조 패턴도 해상되기 쉬워지게 된다라고 하는 문제가 있었다. 또한 변형 조명의 경사 입사 조사에 의해, 마스크 기판면에 수직 방향의 마스크의 두께에 의한 입체적인 효과(마스크의 3차원 효과)로 보조 패턴이 전사 대상면에 해상되기 쉬워진다라고 하는 문제가 발생해 왔다. 특허문헌 2에 기재된 보조 패턴을 갖는 하프톤 마스크는 가령 주패턴의 위상차가 소정의 범위 내이어도, 3차원 효과에 의해 보조 패턴이 해상되어 버리고, 게다가 디포커스에 대하여 치수 변동이 비대칭이 되어, 전사 화상의 품질이 떨어져서 실용에 적합하지 않다고 하는 문제가 발생하고 있었다.
또한, 특허문헌 2, 특허문헌 3 및 비특허문헌 1에 기재된 포토마스크는 모두 주패턴만이 투명 기판 측의 하층에 반투명막, 상층에 차광막 혹은 하층과 상이한 재질의 반투명막 또는 투명막을 중첩한 2층 구조로 하고 있고, 반투명막의 보조 패턴을 갖는 포토마스크의 제조에 있어서는, 주패턴의 성막 공정이 어느 것에서든 2회 필요해져서, 제조 공정이 복잡해진다고 하는 문제가 있었다. 또한, 특허문헌 2에 기재된 포토마스크의 제조에 있어서는, 패턴 미세화와 함께, 투명 기판 상에 형성된 제1 패턴과 다음으로 형성하는 제2 패턴과의 위치 정렬이 어려워져서, 주패턴과 보조 패턴 사이의 스페이스를 얼라인먼트 어긋남을 고려한 값(통상, 200 nm 정도) 이상으로 취할 필요가 있어, 보조 패턴폭을 주패턴폭과 동일하게 하는 것이 패턴의 미세화와 함께 곤란해진다고 하는 문제가 있었다.
상기한 바와 같이 반도체 소자 패턴의 미세화에 수반하여, 보조 패턴을 설치한 하프톤 마스크가 강하게 요구되고는 있지만, 종래의 보조 패턴을 설치한 포토마스크는 하프 피치 65 nm 이하, 나아가 45 nm, 32 nm의 반도체 소자용의 마스크로서의 미세화에 대응하고 있지 않고, 또한 그의 제조는 곤란해지고 있다라고 하는 문제가 있었다.
따라서, 본 발명은 상기 문제점을 감안하여 이루어진 것이다. 즉, 본 발명이 제1의 목적은, ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되는 마스크로서, 보조 패턴으로서의 초점 심도 확대 효과를 유지하면서, 보조 패턴을 해상시키지 않고, 보조 패턴의 이지러짐이나 쓰러짐을 억제하고, 주패턴의 콘트라스트가 높은 전사 화상을 형성할 수 있는 보조 패턴을 갖는 하프톤 마스크 및 그의 제조 방법을 제공하는 것이다.
또한, 상술한 바와 같이, 보조 패턴의 미세화에 수반하여, 세정 등의 마스크 제조 공정에 있어서, 혹은 노광 장치에서 사용 중에 더럽혀진 마스크를 재세정하는 경우에 있어서, 보조 패턴의 일부가 부족하거나 하는 등의 문제가 있었다.
따라서, 본 발명은 상기 문제점을 감안하여 이루어진 것이다. 즉, 본 발명의 제2 목적은, 보조 패턴의 이지러짐 등의 발생을 억제한 보조 패턴을 갖는 하프톤 마스크를 제공하는 것이다.
또한, 본래, 보조 패턴은 전사 대상면에 해상되지 않도록 마스크 설계하고 있으므로, 반드시 복수의 보조 패턴의 모두가 전사되는 것은 아니지만, 보조 패턴의 일부, 예를 들어 주패턴에 근접한 보조 패턴 혹은 근접한 보조 패턴의 일부 등이 해상되어 버린다고 하는 문제가 발생하고 있었다.
상기 보조 패턴 혹은 그의 일부가 전사 대상면에 해상되는 원인으로서는, 마스크 설계 소프트웨어의 정밀도가 나쁘기 때문에 마스크 설계 단계에 불비가 있는 경우, 실제로 제작된 보조 패턴 치수의 오차가 큰 경우, 반도체 노광 장치의 광학계가 미묘하게 오차를 갖고 있는 경우, 반도체용 레지스트의 특성의 문제 등, 다양한 요인이 생각되고 있다.
상기한 바와 같이 보조 패턴을 설치한 포토마스크가 강하게 요구되고 있지만, 반도체 소자 패턴의 미세화에 수반하여, 미세 패턴의 한계에 가까운 영역에서 패턴 전사를 행하기 때문에, 노광 전사 후에 보조 패턴이 전사 대상면에 해상되어 버린다고 하는 문제가 발생하고, 전사되는 보조 패턴의 마스크를 수정하기 위해서, 보조 패턴 선폭을 더욱 작게 수정해서 해상되지 않도록 시도하려고 해도, 마스크 선폭에서 예를 들어 60 nm 이하의 보조 패턴을 더욱 근소한 폭으로 수정하는 것은 곤란해서, 다시, 포토마스크를 제조해야 한다는 문제가 있었다.
따라서, 본 발명은 상기 문제점을 감안하여 이루어진 것이다. 즉, 본 발명의 제3 목적은, ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되는 보조 패턴을 갖는 포토마스크에 있어서, 보조 패턴이 전사 대상면에 해상되는 경우의 포토마스크를, 확실하고 비교적 용이한 방법에 의해 보조 패턴을 수정하는 포토마스크의 수정 방법 및 수정된 포토마스크를 제공하는 것이다.
상기 과제를 해결하기 위해서, 본 발명에 있어서는, ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되는 포토마스크에 있어서, 상기 포토마스크가 투명 기판의 일 주면 상에 상기 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 상기 주패턴의 근방에 형성되고 상기 전사 대상면에 전사되지 않는 보조 패턴을 설치한 포토마스크로서, 상기 주패턴과 상기 보조 패턴이 동일 재료로 이루어지는 반투명막으로 구성되어 있고, 상기 주패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광에 180도의 위상차를 발생시키고, 또한 상기 보조 패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광에 70도 내지 115도 범위의 소정의 위상차를 발생시키는 것을 특징으로 하는 포토마스크를 제공한다.
상기 발명에 있어서는, 상기 보조 패턴의 막두께가 상기 주패턴의 막두께보다도 얇고, 막두께차가 24 nm 내지 40 nm 범위의 소정의 막두께차인 것이 바람직하다.
상기 발명에 있어서는, 상기 막두께차가 건식 에칭에 의해 형성된 것이 바람직하다.
상기 발명에 있어서는, 상기 보조 패턴의 노광광 투과율이 15% 내지 29% 범위의 소정의 투과율인 것이 바람직하다.
상기 발명에 있어서는, 상기 동일 재료로 이루어지는 반투명막이 단층의 반투명막 또는 2층의 반투명막으로 이루어지는 것이 바람직하다.
상기 발명에 있어서는, 상기 단층의 반투명막이 몰리브덴실리사이드계 재료의 반투명막이며, 상기 2층의 반투명막이 상기 투명 기판 상에 크롬계 재료의 반투명막, 몰리브덴실리사이드계 재료의 반투명막을 순서대로 설치한 것인 것이 바람직하다.
상기 발명에 있어서는, 상기 포토마스크의 외주부에 차광 영역이 형성되어 있는 것이 바람직하다.
상기 발명에 있어서는, 상기 단층의 반투명막이 몰리브덴실리사이드계 재료의 반투명막이며, 상기 2층의 반투명막이 상기 투명 기판 상에 크롬계 재료의 반투명막, 몰리브덴실리사이드계 재료의 반투명막을 순서대로 설치한 것인 것이 바람직하다.
상기 발명에 있어서는, 상기 주패턴 및 상기 보조 패턴이 모두 라인 패턴이며, 상기 주패턴이 고립 패턴 또는 주기 패턴인 것이 바람직하다.
또한, 본 발명에 있어서는, ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되고, 투명 기판의 일 주면 상에 상기 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 상기 주패턴의 근방에 형성되고 상기 전사 대상면에 전사되지 않는 보조 패턴을 설치한 포토마스크의 제조 방법으로서, (a) 상기 투명 기판의 일 주면 상에 반투명막, 차광막을 순서대로 형성하고, 상기 반투명막을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광의 위상차가 거의 180도가 되는 막두께로 하는 공정과, (b) 상기 차광막 상에 제1 레지스트 패턴을 형성하고, 상기 차광막 및 상기 반투명막을 순서대로 건식 에칭하여 주패턴부와 보조 패턴부를 형성하는 공정과, (c) 상기 제1 레지스트 패턴을 박리하고, 다음으로 상기 차광막 상에 제2 레지스트 패턴을 형성하고, 상기 보조 패턴부의 차광막을 에칭하여 제거하는 공정과, (d) 상기 제2 레지스트 패턴을 박리하고, 다음으로 상기 투명 기판의 일 주면 상 전체면을 건식 에칭하고, 상기 보조 패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광이 70도 내지 115도 범위의 소정의 위상차가 되는 막두께까지 상기 보조 패턴부의 반투명막을 건식 에칭해서 보조 패턴을 형성하는 공정과, (e) 상기 주패턴부의 차광막을 에칭하여 제거해서 주패턴을 형성하고, 상기 주패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광에 180도의 위상차를 발생시키는 공정을 포함하는 것을 특징으로 하는 포토마스크의 제조 방법을 제공한다.
상기 발명에 있어서는, 공정 (b)의 상기 반투명막의 건식 에칭이 상기 반투명막의 막두께의 도중까지의 하프에칭인 것이 바람직하다.
또한, 본 발명에 있어서는, ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되고, 투명 기판의 일 주면 상에 상기 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 상기 주패턴의 근방에 형성되고 상기 전사 대상면에 전사되지 않는 보조 패턴을 설치한 포토마스크의 제조 방법으로서, (a) 상기 투명 기판의 일 주면 상에 반투명막, 차광막을 순서대로 형성하고, 상기 반투명막이 2층의 반투명막으로 이루어지고, 상기 투명 기판 측의 하층의 반투명막이 상층의 반투명막의 에칭 정지층을 겸하고, 상기 2층의 반투명막을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광의 위상차가 거의 180도가 되는 막두께로 하는 공정과, (b) 상기 차광막 상에 제1 레지스트 패턴을 형성하고, 상기 차광막 및 상기 2층의 반투명막을 순서대로 건식 에칭하여 주패턴부와 보조 패턴부를 형성하는 공정과, (c) 상기 제1 레지스트 패턴을 박리하고, 다음으로 상기 차광막 상에 제2 레지스트 패턴을 형성하고, 상기 보조 패턴부의 차광막을 에칭하여 제거하는 공정과, (d) 상기 제2 레지스트 패턴을 박리하고, 다음으로 상기 투명 기판의 일 주면 상 전체면을 건식 에칭하고, 상기 보조 패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광이 70도 내지 115도 범위의 소정의 위상차가 되는 막두께까지 상기 보조 패턴부의 반투명막을 건식 에칭해서 보조 패턴을 형성하는 공정과, (e) 상기 주패턴부의 차광막을 에칭하여 제거해서 주패턴을 형성하고, 상기 주패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광에 180도의 위상차를 발생시키는 공정을 포함하는 것을 특징으로 하는 포토마스크의 제조 방법을 제공한다.
상기 발명에 있어서는, 상기 보조 패턴과 상기 주패턴의 막두께차가 24 nm 내지 40 nm 범위의 소정의 막두께차인 것이 바람직하다.
상기 발명에 있어서는, 상기 보조 패턴을 형성하는 공정 (d) 후에 차광 영역용 레지스트 패턴을 형성하고, 상기 주패턴 상의 차광막을 건식 에칭해서 제거하여 주패턴을 형성함과 함께, 상기 포토마스크의 외주부에 차광 영역을 형성하는 공정을 더 포함하는 것이 바람직하다.
또한, 본 발명에 있어서는, 투명 기판의 일 주면 상에 상기 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 상기 주패턴의 근방에 형성되고 상기 전사 대상면에 전사되지 않는 보조 패턴을 설치한 포토마스크로서, 상기 주패턴과 상기 보조 패턴이 동일 재료로 이루어지는 반투명막으로 구성되어 있고, 상기 보조 패턴의 막두께가 상기 주패턴의 막두께보다도 얇고, 막두께차가 24 nm 내지 40 nm 범위의 소정의 막두께차인 것을 특징으로 하는 포토마스크를 제공한다.
또한, 본 발명에 있어서는, ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되고, 투명 기판의 일 주면 상에 상기 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 상기 주패턴의 근방에 형성된 보조 패턴을 갖는 포토마스크에 있어서, 상기 투영 노광에 의해 상기 보조 패턴이 상기 전사 대상면에 해상되는 경우의 포토마스크의 수정 방법으로서, 상기 해상되는 보조 패턴의 표면을 에칭 혹은 연삭하여 상기 보조 패턴이 상기 전사 대상면에 해상되지 않게 될 때까지 상기 해상되는 보조 패턴의 막두께를 얇게 하는 것을 특징으로 하는 포토마스크의 수정 방법을 제공한다.
상기 발명에 있어서는, 상기 에칭 혹은 연삭해서 얇게 한 수정 후의 상기 보조 패턴의 막두께와, 수정 전의 상기 보조 패턴의 막두께의 막두께차가 1 nm 내지 40 nm의 범위인 것이 바람직하다.
상기 발명에 있어서는, 상기 에칭이 전자 빔 마스크 수정기의 전자 빔을 사용한 가스 어시스트 에칭이며, 상기 연삭이 원자간력 현미경의 탐침을 사용한 연삭인 것이 바람직하다.
상기 발명에 있어서는, 상기 주패턴과 상기 보조 패턴이 반투명막으로 구성되어 있고, 상기 주패턴의 막두께가 상기 주패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광에서 180도의 위상차를 발생시키는 막두께인 것이 바람직하다.
상기 발명에 있어서는, 상기 주패턴이 차광막으로 구성되고, 상기 보조 패턴이 반투명막으로 이루어지는 것이 바람직하다.
상기 발명에 있어서는, 상기 주패턴과 상기 보조 패턴이 차광막으로 구성되어 있는 것이 바람직하다.
상기 발명에 있어서는, 상기 주패턴 및 상기 보조 패턴이 모두 라인 패턴이며, 상기 주패턴이 고립 패턴 또는 주기 패턴인 것이 바람직하다.
또한, 본 발명에 있어서는, 상술한 포토마스크의 수정 방법에 의해 보조 패턴이 수정되고, 수정 후의 상기 보조 패턴의 막두께가 수정 전의 상기 보조 패턴의 막두께보다도 얇은 것을 특징으로 하는 포토마스크를 제공한다.
본 발명의 포토마스크에 따르면, 보조 패턴을 갖는 하프톤 마스크에 있어서, 보조 패턴 부분만을 박막화함으로써 보조 패턴으로서의 초점 심도 확대 효과를 유지하면서, 콘트라스트가 높은 전사 화상을 형성할 수 있다. 보조 패턴 치수를 56 nm로부터 104 nm로 크게 해도 보조 패턴부는 해상되지 않고, 또한 반복 단부의 주패턴의 초점 심도 확대 효과에 악영향은 없고, 보조 패턴의 치수를 종래의 치수의 약 2배 정도까지 크게 할 수 있고, 보조 패턴의 종횡비를 낮춤으로써 보조 패턴의 이지러짐이나 쓰러짐이 억제되는 효과를 발휘한다. 또한, 본 발명의 포토마스크는 반투명막이 단층인 경우에는, 종래부터 사용되고 있는 하프톤 마스크용 마스크 블랭크스를 그대로 사용할 수 있어, 마스크 블랭크스 재료를 변경할 필요가 없기 때문에, 보조 패턴을 사용하지 않는 하프톤 마스크에 비하여 마스크 블랭크스의 호환성을 확보할 수 있어, 마스크의 품질 유지와 마스크 비용의 저감이 가능해진다.
본 발명의 포토마스크의 제조 방법에 따르면, 주패턴 및 보조 패턴이 동일 재료로 이루어지는 반투명막으로 구성되므로 반투명막의 성막 공정이 용이하고, 반투명막이 단층인 경우에는, 종래부터 사용되고 있는 하프톤 마스크용 마스크 블랭크스를 그대로 사용할 수 있어, 마스크 블랭크스 재료를 변경할 필요가 없기 때문에, 마스크 제조 비용을 저감할 수 있다. 보조 패턴폭을 주패턴보다 작게 함으로써, 주패턴과 보조 패턴 사이의 스페이스를 보다 넓게 하여, 투명 기판 상에 형성된 제1 패턴과 다음으로 형성하는 제2 패턴의 얼라인먼트 어긋남의 여유도를 높인 제조 방법으로 할 수 있어, 마스크 제조의 난이도를 높이지 않고 패턴의 전사 특성을 개선하는 포토마스크를 얻을 수 있다.
본 발명의 포토마스크에 따르면, 주패턴 및 보조 패턴의 막두께차를 소정의 범위로 함으로써, 보조 패턴의 일부가 이지러지거나, 보조 패턴이 기판 표면으로부터 박리되거나, 보조 패턴이 그의 선폭 방향으로 쓰러지거나 하는 현상이 발생하는 것을 억제할 수 있다.
본 발명의 포토마스크의 수정 방법에 따르면, 원래 전사 대상면에 전사되어서는 안되는 보조 패턴이 전사 대상면에 해상되는 경우의 포토마스크의 수정 방법에 있어서, 해상되는 보조 패턴의 표면을 에칭 혹은 연삭하여 보조 패턴이 전사 대상면에 해상되지 않게 될 때까지 보조 패턴의 막두께를 얇게 함으로써 보조 패턴이 전사되는 문제를 해결하고, 보조 패턴으로서의 초점 심도 확대 효과를 유지하면서, 콘트라스트가 높은 전사 화상을 형성하는 포토마스크로 수정할 수 있다. 본 발명의 포토마스크의 수정 방법은, 종래의 방법인 보조 패턴의 선폭 방향의 수정과는 달리, 보조 패턴을 두께 방향으로 박막화해서 수정하는 방법으로서, 보조 패턴을 갖는 포토마스크를 용이한 방법으로 확실하게 수정하는 것이 가능해진다.
본 발명의 수정 방법에 의한 포토마스크에 따르면, 보조 패턴이 전사 대상면에 전사되는 포토마스크를, 보조 패턴을 두께 방향으로 수정함으로써, 보조 패턴이 전사 대상면에 전사되지 않고, 초점 심도 확대 효과를 갖고, 콘트라스트가 높은 전사 화상을 형성하는 고품질의 포토마스크를 얻을 수 있다고 하는 효과를 발휘한다.
도 1은 본 발명의 보조 패턴을 갖는 하프톤 마스크의 일 실시 형태를 도시하는 부분 단면 모식도이다.
도 2는 본 발명의 보조 패턴을 갖는 하프톤 마스크의 다른 실시 형태를 도시하는 부분 단면 모식도이다.
도 3은 본 발명의 하프톤 마스크(또는 보조 패턴을 갖는 포토마스크)의 평가에 사용한 Cquad 퓨필 필터에서, 동 도면의 (a)는 Cquad의 평면 모식도, 동 도면의 (b)는 Cquad를 사용해서 마스크에 노광광을 조사한 때의 사시 모식도이다.
도 4는 본 발명의 하프톤 마스크(또는 보조 패턴을 갖는 포토마스크)에서 사용한 평가 패턴과, 평가 패턴의 위치와 광강도의 관계를 도시하는 공간상의 도면이다.
도 5는 SRAF의 CD를 바꾸었을 때, SRAF 막두께차와 SRAF의 광강도/슬라이스 레벨의 관계를 도시하는 도면이다.
도 6은 SRAF의 CD를 바꾸었을 때, 웨이퍼 상의 주패턴 단부의 라인 CD와 디포커스의 관계를 도시하는 도면이다.
도 7은 본 발명의 포토마스크의 제조 방법의 제1 실시 형태를 도시하는 공정 단면 모식도이다.
도 8은 본 발명의 포토마스크의 제조 방법의 제2 실시 형태를 도시하는 공정 단면 모식도이다.
도 9는 본 발명의 포토마스크의 제조 방법의 제3 실시 형태를 도시하는 공정 단면 모식도이다.
도 10은 본 발명의 포토마스크의 제조 방법의 제4 실시 형태를 도시하는 공정 단면 모식도이다.
도 11은 종래의 포토마스크의 제조 방법의 일 실시 형태를 도시하는 공정 단면 모식도이다.
도 12는 도 3에 도시하는 실시 형태에 있어서, SRAF의 에칭량(마스크 상)과 SRAF CD(웨이퍼 상의 치수)의 관계를 도시하는 도면이다.
도 13은 도 3에 도시하는 실시 형태에 있어서, SRAF 에칭량 오차가 주패턴 CD에 미치는 영향에 대해서 도시한 도면이다.
도 14는 도 3에 도시하는 실시 형태에 있어서, SRAF 에칭량을 바꾸었을 때, 웨이퍼 상의 반복 단부의 주패턴 CD와 디포커스(Defocus)의 관계를 도시하는 도면이다.
도 15는 도 3에 도시하는 실시 형태에 있어서, SRAF 에칭량을 바꾸었을 때, 웨이퍼 상의 반복 단부의 주패턴의 광강도 분포를 도시하는 도면이다.
도 16은 시뮬레이션에 사용한 Quasar 퓨필 필터의 평면 모식도(a)와, Quasar를 사용해서 마스크에 노광광을 조사했을 때의 사시 모식도(b)와, 마스크 패턴(194)의 평면 모식도(c)이다.
도 17은 도 16에 도시하는 실시 형태에 있어서, SRAF의 에칭량(마스크 상)과 SRAF CD(웨이퍼 상의 치수)의 관계를 도시하는 도면이다.
도 18은 도 16에 도시하는 실시 형태에 있어서, 마스크 상의 SRAF의 에칭량 오차가 웨이퍼 상의 주패턴 CD 오차에 미치는 영향에 대해서 도시하는 도면이다.
도 19는 도 16에 도시하는 실시 형태에 있어서, SRAF 에칭량을 바꾸었을 때의 주패턴 CD와 디포커스의 관계를 도시하는 도면이다.
도 20은 종래의 하프톤 마스크와 바이너리 마스크에 있어서, 마스크 CD와 NILS의 관계를 도시한다.
도 21은 종래의 하프톤 마스크와 바이너리 마스크에 있어서, 마스크 CD와 MEEF의 관계를 도시한다.
도 22는 종래의 하프톤 마스크와 바이너리 마스크에 있어서, 마스크 CD와 노광 여유도를 도시하는 도면이다.
도 23은 종래의 하프톤 마스크와 바이너리 마스크에 있어서, 웨이퍼 상에서의 SRAF의 CD에 대하여, 광강도 임계값의 슬라이스 레벨에 대한 SRAF부 광강도의 비를 도시하는 도면이다.
도 24는 특허문헌 2에 기재된 종래의 반투명 보조 패턴을 갖는 포토마스크의 평면도 및 종단면도이다.
도 25는 본 발명의 보조 패턴을 갖는 포토마스크의 수정 방법의 일 실시 형태를 도시하는 단면 모식도이다.
도 26은 본 발명의 수정 방법을 적용할 수 있는 보조 패턴을 갖는 포토마스크의 예를 도시하는 부분 단면 모식도이다.
도 27은 실시예에 있어서 테스트 시료에 의한 SRAF 부분의 박막화 처리 후의 SEM 사진이다.
도 28은 테스트 시료에서 SRAF부를 부분적으로 에칭한 후의 리소그래피 시뮬레이션 현미경에 의한 웨이퍼 상 광강도 분포의 평면 상태를 도시하는 화상이다.
도 29는 실시예에 있어서의 SRAF 부분의 박막화 처리 전의 패턴 위치와 광강도의 관계를 도시하는 공간상의 도면이다.
도 30은 도 29의 부분 확대도이며, 반복 단부 주패턴과 보조 패턴(S1)의 SRAF 박막화 처리 전의 패턴 위치와 광강도의 관계를 도시하는 공간상의 도면이다.
도 31은 도 30에 대한 비교 참고도이며, SRAF가 없는 경우의 반복 단부의 주패턴과 보조 패턴(S1)의 패턴 위치와 광강도의 관계를 도시하는 공간상의 도면이다.
도 32는 실시예에 있어서의 SRAF 부분의 박막화 처리 후의 패턴 위치와 광강도의 관계를 도시하는 공간상의 도면이다.
도 33은 도 27, 도 28의 파선부 내의 상황을 도시하고, 도 32의 부분 확대도이며, 반복 단부 주패턴과 보조 패턴(S1)의 SRAF 박막화 처리 후의 패턴 위치와 광강도의 관계를 도시하는 공간상의 도면이다.
도 34는 SRAF 박막화 처리에 의한 반복 단부 주패턴의 초점 심도를 도시하는 도면이다.
A. 포토마스크
본 발명의 포토마스크는 ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되는 마스크이며, 바람직하게는 웨이퍼 상의 하프 피치가 65 nm 이하, 나아가 45 nm, 32 nm인 미세한 반도체 소자 형성에 사용되는 것을 대상으로 하는 마스크이다.
(종래의 하프톤 마스크의 전사 특성)
본 발명에 대해서 설명하기 전에, 우선 본 발명이 대상으로 하고 있는 보조 패턴을 갖는 하프톤 마스크의 전사 특성에 대해서 설명한다. 본 발명자는 웨이퍼 상에 하프 피치 45 nm 이하의 세밀 패턴을 형성하기 위한 보조 패턴을 갖는 하프톤 마스크의 전사 특성을, 종래의 하프톤 마스크를 사용해서 바이너리 마스크와 비교하면서 시뮬레이션에 의해 조사하였다.
종래, 마스크 패턴의 전사 특성의 평가는, 마스크 패턴의 평면적인 특성을 주로 하여, 투과율이나 위상차로 표현하는 방법에 의해 예측되고 있었다. 최근에는, 포토마스크의 전사 특성의 평가에 콘트라스트 혹은 NILS(Normalized Image Log-Slope: 정규화 화상 대수 구배) 및 MEEF(Mask Error Enhancement Factor: 마스크 오차 증대 인자) 등의 지표가 사용되고 있다. 우선, NILS와 MEEF를 사용해서 마스크의 전사 특성을 평가하였다.
NILS는 하기의 수학식 1로 표현된다. NILS의 값이 크면, 광학상은 급준하게 되어 레지스트 패턴의 치수 제어성은 향상된다. 일반적으로, NILS는 2 이상이 바람직한데, 반도체 소자의 미세화에 수반하여, 1.5 정도 이상에서도 해상되는 레지스트 프로세스가 요구되고 있다. 여기서, W는 원하는 패턴 치수, Ith는 W를 제공하는 광강도의 임계값, (dI/dx)은 공간상의 구배이다.
Figure pct00001
MEEF는 하기의 수학식 2로 표현되고 있고, 마스크 치수 변화량(Δ마스크 CD)에 대한 웨이퍼 상의 패턴 치수 변화량(Δ웨이퍼 CD)의 비로 나타내어진다. CD는 마스크나 웨이퍼의 중요한 치수(Critical Dimension)를 나타낸다. 수학식 2의 수치 4는 마스크의 축소비이며, 일반적인 4배 마스크를 사용한 경우를 예시하고 있다. 수학식 2가 나타낸 바와 같이, MEEF의 값은 작은 쪽(1 부근)이, 마스크 패턴이 웨이퍼 패턴에 의해 충실하게 전사되게 되어, MEEF의 값이 작아지면 웨이퍼 제조 수율이 향상하고, 그 결과, 웨이퍼 제조에 사용하는 마스크 제조 수율도 향상하게 된다.
Figure pct00002
본 발명에 있어서는, 마스크 패턴의 전사 특성을 어림하기 위한 시뮬레이션 소프트웨어로서 EM-Suite(상품명: 파노라믹 테크놀로지(Panoramic Technology)사 제조)를 사용하였다. 주된 시뮬레이션 조건은 ArF 엑시머 레이저(193 nm)를 조명 광원으로 하고, NA는 1.35, 변형 조명으로서 도 3에 도시하는 Cquad 퓨필 필터(31)를 사용하였다. 동 도면의 (a)는 Cquad(31)의 평면 모식도, 동 도면의 (b)는 Cquad(31)를 사용해서 마스크(33)에 노광광을 조사했을 때의 사시 모식도이다. Cquad(31)는 부채 형상 광투과부의 개구각 35도, 외경 0.9, 내경 0.7(퓨필 필터의 반경을 1로 함)로 하였다. 마스크(33)로서는, 종래의 일반적인 몰리브덴실리사이드계의 노광 파장 193 nm에 있어서의 투과율 6%의 하프톤 마스크(6% 하프톤이라고 기재함)와, 비교를 위한 몰리브덴실리사이드계의 바이너리 마스크를 사용하였다. 웨이퍼 상의 타깃 라인 치수는 45 nm, 패턴은 피치 90 nm(하프 피치 45 nm)의 라인/스페이스 반복 패턴으로 하였다.
도 20, 도 21은, 종래의 하프톤 마스크와 바이너리 마스크에 있어서, 상기 시뮬레이션에 의해 얻어진 웨이퍼 상의 전사 타깃 치수 45 nm에 있어서의 마스크 바이어스와 전사 특성의 관계를 도시하는 도면이며, 도 20은 NILS, 도 21은 MEEF에 대해서 마스크 CD와의 관계를 도시한다.
도 20이 도시하는 NILS에 있어서는, 하프톤 마스크에서는, 마스크 바이어스를 마이너스 측으로 해서 라인 패턴 치수를 가늘어지게 한 마스크 CD 32 nm 내지 44 nm(웨이퍼 상)에 있어서, NILS는 최대값을 나타낸다. 한편, 바이너리 마스크에서는, 마스크 바이어스를 플러스 측으로 해서 라인 패턴 치수를 두껍게 할수록 NILS가 높아지는 경향을 나타낸다.
도 21에 도시하는 MEEF에 있어서는, 하프톤 마스크, 바이너리 마스크의 모두가 마스크 바이어스를 마이너스로 해서 라인 패턴 치수를 가늘어지게 할수록 MEEF가 작아지는데, 하프톤 마스크 쪽이 바이너리 마스크보다도 보다 작은 값을 나타내어 보다 바람직하다.
도 20, 도 21로부터, 하프톤 마스크에서는, 최대 NILS와 최소 MEEF의 마스크 CD가 거의 일치하고 있다. 한편, 바이너리 마스크에서는, NILS와 MEEF가 상반되는 관계에 있고, 한쪽의 특성을 좋게 하려고 하면 다른 쪽의 특성이 나빠지는 것을 알 수 있다. 이것은, 하프 피치 45 nm 이하의 패턴 형성에는 바이너리 마스크보다도 하프톤 마스크 쪽이 적합한 것을 나타내고 있다. 따라서, 본 발명에 있어서 설명한 바와 같이, 하프 피치 45 nm 이하의 패턴 형성용의 포토마스크로서 하프톤 마스크를 사용하는 것은 바람직한 선택의 하나이다.
도 22는 종래의 하프톤 마스크와 바이너리 마스크의 마스크 CD와 노광 여유도(Exposure Latitude, 노광 관용도라고도 함)를 도시하는 도면이다. 노광 여유도는 양호한 레지스트 치수·형상을 얻기 위한 노광 마진을 나타내는 값이다. 여기서, 노광 여유도는 초점면이 ±50 nm의 범위에서 어긋나고, 주패턴 마스크 CD가 ±2.5 nm의 범위에서 어긋난 경우에, 웨이퍼 전사 CD의 오차가 ±3.8 nm 이하가 되는 조건에서 평가하였다. 여기서 마스크 CD는 웨이퍼 상에 환산되어 있으므로, 전사되는 주패턴의 마스크 CD를 나타낸다. 도 22에 있어서, 하프톤 마스크(도면 중의 점선)은 마스크 CD가 32 nm인 때에 노광 여유도가 최량의 값 8.3%를 나타내고, 마스크 CD가 40 nm인 때에는 바이너리 마스크(실선)와 동일한 노광 여유도가 된다. 한편, 바이너리 마스크는 마스크 CD가 46 nm의 때에 노광 여유도가 최량의 값 7%를 나타내지만, 하프톤 마스크에 비교하면 노광 여유도는 작다.
도 4는 본 발명에서 사용한 평가 패턴(동 도면의 (a))과, 평가 패턴의 위치에 대응한 광강도를 나타내는 공간상의 도면(동 도면의 (b))이다. 평가 패턴은 주패턴으로서 하프 피치 45 nm의 라인/스페이스가 9개, 단부의 주패턴의 해상성을 향상시키기 위해서, 주패턴의 양단부에 SRAF가 2개(SRAF의 하프 피치는 주패턴과 동일함) 넣어서 1조로 하고, 400 nm의 스페이스를 개재한 반복 패턴이다. 주패턴, SRAF 모두 상기한 6% 하프톤이다.
이어서, 보조 패턴을 갖는 하프톤 마스크에 있어서, 라인/스페이스 패턴의 단부의 보조 패턴(SRAF)의 전사성에 대해서 설명한다. 도 4에서는, 횡축에 주패턴과 SRAF의 1조의 패턴의 위치, 종축에 패턴이 없는 투과부의 광강도를 1로 했을 때의 규격화한 광강도를 나타내고 있고, 도면 중의 가로 실선으로 나타내는 슬라이스 레벨은 규격화된 광강도 임계값이다. 주마스크 패턴의 치수에 따라 슬라이스 레벨은 바뀐다. 도면 중에 화살표로 나타내는 SRAF부의 최소 광강도가 슬라이스 레벨보다도 낮아지면, SRAF가 웨이퍼 상에 해상되는 것을 의미한다.
도 23은 종래 기술에 기초하여 막두께를 일정하게 한 경우의 하프톤 마스크와 바이너리 마스크에 있어서의, 웨이퍼 상에서의 SRAF의 CD(횡축)에 대하여, 규격화된 광강도 임계값의 슬라이스 레벨에 대한 SRAF부의 광강도의 비(종축)를 도시하는 도면이다. 하프톤 마스크(도면 중의 삼각점)는 주패턴의 CD가 3가지(웨이퍼 상에서 32 nm; 36 nm; 40 nm)인 경우를 나타낸다. 상기 비가 1 이하이면 SRAF는 전사되어 버리므로, SRAF가 전사되지 않도록 하기 위해서는 상기 비를 1 이상으로 해야한다. 도면 중에 점선으로 나타내는 하프톤 마스크의 주패턴 CD가 32 nm(마스크 상에서는 128 nm)인 때에는, 상기 노광 여유도는 최량의 값을 나타내지만, SRAF의 CD를 14 nm(마스크 상에서는 56 nm) 이하로 하지 않으면 SRAF가 해상되어 버리게 되어, 마스크 제조가 곤란한 것을 알 수 있다.
상기는 SRAF를 갖는 종래의 6% 하프톤 마스크를 사용한 경우의 시뮬레이션 결과로서, 시뮬레이션 상으로는 마스크 특성이 우수한 것을 알 수 있지만, SRAF 치수가 지극히 작아져, 실제의 마스크 제조가 곤란하다.
(본 발명의 포토마스크)
이어서, 상기 결과를 참고로 하면서, 본 발명의 포토마스크 및 포토마스크의 제조 방법의 실시 형태에 대해서 도면에 기초하여 상세하게 설명한다. 본 발명에 있어서는, 후술하는 주패턴 사이에 SRAF가 있는 경우를 제외하고, 이하의 마스크 패턴의 전사 특성의 설명에서는, 상기 도 3에 도시하는 Cquad 퓨필 필터(31)를 사용하고, 시뮬레이션 소프트웨어로서 EM-Suite(상품명: 파노라믹 테크놀로지사 제조)를 사용하였다. 주된 시뮬레이션 조건은 ArF 엑시머 레이저(193 nm)를 조명 광원으로 하고, NA는 1.35이다. 평가 패턴은 상기 도 4의 (a)에 도시하는 패턴을 사용하고 있다.
〔제1 실시 형태〕
도 1은 본 발명의 포토마스크인 보조 패턴을 갖는 하프톤 마스크의 제1 실시 형태를 도시하는 부분 단면 모식도이며, 라인/스페이스 패턴을 설치한 경우를 예시하고 있고, 합성 석영 기판 등의 투명 기판(11) 상에 노광광을 소정의 투과율로 투과시키고 위상을 바꾸는 단층의 반투명막으로 주패턴(12)이 설치되고, 주패턴(12)의 근방에 주패턴(12)과 동일 재료로 이루어지는 단층의 반투명막으로 구성된 보조 패턴(SRAF)(13)이 형성된 하프톤 마스크(10)이다. 도 1에서는, 주패턴(12), 보조 패턴(13) 모두 2개, 마스크 패턴의 일부 밖에 예시하고 있지 않지만, 물론 이것에 한정되는 것은 아니다. 주패턴은 고립 패턴 또는 주기 패턴이어도 된다.
본 발명의 보조 패턴을 갖는 하프톤 마스크(10)는 주패턴(12)을 투과하는 광과 투명 기판(11)의 패턴이 없는 투명 영역을 투과하는 광에 180도의 위상차를 발생시키고, 또한 보조 패턴(13)을 투과하는 광과 투명 기판(11)의 투명 영역을 투과하는 광에 70도 내지 115도 범위의 소정의 위상차를 발생시키게 설정되어 있다. 주패턴(12)과 보조 패턴(13)의 위상차를 상기와 같이 설정함으로써, 하프톤 마스크(10)는 보조 패턴으로서의 초점 심도 확대 효과를 유지하면서, 보조 패턴(13)을 해상시키지 않고, 주패턴(12)의 콘트라스트가 높은 전사 화상을 형성할 수 있다.
상기 위상차를 발생시키기 위해서, 본 발명의 보조 패턴을 갖는 하프톤 마스크(10)는 보조 패턴(13)의 막두께가 주패턴(12)의 막두께보다도 얇고, 막두께차(이후, SRAF 막두께차라고 함)가 24 nm 내지 40 nm 범위의 소정의 막두께차로 하고 있다. 상기 소정의 막두께차는 SRAF부를 선택적으로 건식 에칭함으로써 형성할 수 있다.
보조 패턴을 갖는 하프톤 마스크(10)로서, 예를 들어 180도의 위상차를 발생시키는 주패턴의 ArF 노광광 투과율을 6%로 하면, 상기 70도 내지 115도 범위의 소정의 위상차를 발생시키는 보조 패턴의 ArF 노광광 투과율은 15% 내지 29% 범위의 소정의 투과율이 된다.
도 1에 도시하는 본 발명의 하프톤 마스크(10)의 주패턴(12) 및 보조 패턴(13)을 구성하는 반투명막으로서는, 재료로서 특별히 한정되는 것은 아니지만, 예를 들어 몰리브덴실리사이드계 재료인 몰리브덴실리사이드산화막(MoSiO), 몰리브덴실리사이드질화막(MoSiN), 몰리브덴실리사이드산화질화막(MoSiON) 등의 반투명막을 들 수 있다. 몰리브덴실리사이드계 반투명막은 하프톤 마스크 재료로서 실용되고 있어, 보다 바람직한 재료이다.
반투명막(12)의 형성은 종래 공지된 방법을 적용할 수 있고, 예를 들어 몰리브덴실리사이드산화막(MoSiO)의 경우에는, 몰리브덴과 규소의 혼합 타깃(Mo:Si=1:2 mol%)을 사용하고, 아르곤과 산소의 혼합 가스 분위기에서 반응성 스퍼터링법에 의해 형성할 수 있고, 수 10 nm의 두께로 성막된다.
주패턴(12) 및 보조 패턴(13)을 구성하는 반투명막이 예를 들어 몰리브덴실리사이드계 재료의 반투명막인 경우에는, CF4, CHF3, C2F6 등의 불소계 가스, 혹은 이들의 혼합 가스, 혹은 이들 가스에 산소를 혼합한 가스를 에칭 가스로서 사용함으로써 건식 에칭을 행하여 패턴 형성할 수 있다.
여기서, 반투명막이 몰리브덴실리사이드계 재료의 단층인 경우, 반투명막을 건식 에칭해서 마스크 패턴을 형성할 때에, 통상, 투명 기판 표면도 약간 에칭되어서 파여 들어간다(도 1에는 도시하지 않음). 본 발명에 있어서, 마스크 패턴이 없는 부분의 투명 기판 표면의 파여 들어감 깊이는 0 내지 10 nm 범위의 깊이로 제어하는 것이 바람직하다. 파여 들어감 깊이가 10 nm를 초과하면 마스크 특성에 좋지 않은 영향을 발생시키게 된다. 따라서, 본 발명의 하프톤 마스크에서는, 투명 기판 표면의 에칭 깊이를 0 내지 10 nm 범위의 소정의 깊이로 제어하고, 미리 이 깊이를 포함해서 위상차를 설정하는 것이다. 이하의 실시 형태에서는, 모든 하프톤 마스크의 에칭되는 파여 들어감 깊이를 4 nm로 하고 있지만, 물론 0 내지 10 nm의 범위이면 다른 에칭 깊이를 사용해도 된다.
본 실시 형태의 하프톤 마스크로서는, 예를 들어 막두께 68 nm의 몰리브덴실리사이드를 반투명막으로 한 경우, 주패턴(막두께 68 nm)이 ArF 엑시머 레이저 광의 투과율 6%, 투명 기판의 투명 영역과의 위상차 180도이며, 보조 패턴이 주패턴과 막두께차 24 nm 내지 40 nm 범위의 소정의 막두께차이며, 투명 기판의 투명 영역과의 위상차 70도 내지 115도 범위의 소정의 위상차인 하프톤 마스크를 나타낼 수 있다.
〔제2 실시 형태〕
상기 투명 기판 표면의 파여 들어감을 저감하기 위해서, 본 발명의 포토마스크의 다른 실시 형태로서, 도 2에 도시하는 2층의 반투명막으로 이루어지는 하프톤 마스크를 나타낸다. 주패턴과 보조 패턴은 동일 재료로 이루어지는 2층의 반투명막으로 구성되어 있고, 투명 기판 측의 하층의 반투명막(24)은 상층의 반투명막(25)의 건식 에칭 시의 에칭 정지층의 기능을 갖는 것이며, 또한 반투명막으로서의 기능도 갖는 것이다. 상층의 반투명막(25)으로서는, 상기 몰리브덴실리사이드계 재료를 예시할 수 있다. 이 경우, 하층의 반투명막(24)으로서는, 크롬계 재료인 산화크롬막(CrO), 질화크롬막(CrN), 산화질화크롬막(CrON)이 바람직하다. 상기 크롬계 재료의 박막은 노광광에 대하여 반투명하고, 몰리브덴실리사이드계 재료의 건식 에칭에 사용하는 불소계 가스에 대하여 내성이 있기 때문이다. 크롬계 재료는 종래 공지된 반응성 스퍼터링법에 의해 형성하고, 불필요부의 크롬계 재료 박막은 염소계 가스에 의해 건식 에칭할 수 있고, 투명 기판에는 손상을 주지 않는다. 상층의 반투명막(25)은 수 10 nm, 하층의 반투명막(24)은 수 nm 내지 수 10 nm의 두께로 성막된다.
본 발명의 하프톤 마스크는, 상기 제1 및 제2 실시 형태에 있어서, 마스크의 외주부에 차광 영역이 형성되어 있어도 된다. 통상, 반도체 웨이퍼에의 투영 노광에 있어서는, 마스크 외주부가 다중 노광되므로, 마스크 외주부에 차광 영역을 형성한 포토마스크가 사용된다. 따라서, 본 발명에 있어서도, 외주부 등의 원하는 영역의 반투명막 상에 차광막을 설치해서 차광 영역으로 할 수 있다. 차광막은 차광성이 있는 크롬 등의 금속막을 수 10 nm 내지 200 nm 정도의 두께로 성막하고, 패터닝해서 차광 영역으로서 형성된다.
(보조 패턴의 전사성)
이어서, 도 1에 도시된 본 발명의 하프톤 마스크의 보조 패턴(SRAF)의 박막화의 효과에 대해서 설명한다. 도 5는 웨이퍼 상에서의 주패턴의 CD가 32 nm인 하프톤 마스크에 있어서, SRAF의 CD를 바꾸었을 때, SRAF 막두께차(횡축)와 SRAF의 광강도/규격화된 광강도 임계값의 슬라이스 레벨(종축)과의 관계를 도시하는 도면이다. SRAF의 광강도/슬라이스 레벨을 1 이상으로 하지 않으면, SRAF가 웨이퍼 상에 해상되는 것을 나타낸다.
도 5가 도시하는 바와 같이, SRAF의 CD가 14 nm(마스크 상에서는 56 nm)로 미세할 때에는, SRAF 막두께차가 0, 즉 주패턴의 막두께(68 nm)와 동일하여도 SRAF는 전사되지 않는다. SRAF의 CD가 22 nm(마스크 상에서는 88 nm)인 때에는, SRAF의 막두께차가 24 nm 이상이면 SRAF는 해상되지 않아 전사되지 않는다. 마찬가지로, SRAF의 CD가 26 nm(마스크 상에서 104 nm)인 때, SRAF의 막두께차 30 nm 이상, SRAF의 CD가 30 nm(마스크 상에서 88 nm)인 때, SRAF의 막두께차가 34 nm 이상이면 SRAF는 전사되지 않는다.
상기 도 23에서 설명한 바와 같이, 종래의 주패턴과 보조 패턴(SRAF)이 동일 재료, 동일 막두께로 구성된 하프톤 마스크에서는, 주패턴의 CD를 32 nm로 하면 SRAF의 CD가 14 nm 이하로 밖에 SRAF가 사용되지 않았지만, 상기한 바와 같이 본 발명의 박막화한 SRAF를 사용함으로써, SRAF의 CD를 26 nm 내지 30 nm로 종래의 치수의 2배 정도로 크게 해도, SRAF가 해상되지 않아 전사되지 않고 사용하는 것이 가능해진다. SRAF의 박막화는 SRAF부를 선택적으로 건식 에칭함으로써 용이하게 가능하다. SRAF 치수를 종래의 2배 정도로 크게 하는 것이 가능하게 되기 때문에, 종래 미세화가 어려워 사용하는 것이 곤란했던 동일 재료로 이루어지는 SRAF를 갖는 하프톤 마스크의 사용이 가능해진다.
도 6은, SRAF의 CD를 바꾸었을 때, 웨이퍼 상의 주패턴 단부의 라인의 CD와 디포커스(Defocus: 초점 위치 변동)의 관계를 도시하는 도면이다. 각각의 SRAF의 CD에 대하여, SRAF가 해상되지 않도록 에칭해서 주패턴의 막두께와 소정의 막두께차(24 nm, 32 nm, 40 nm)을 갖고 있다. 도 6에 도시된 바와 같이, SRAF의 CD를 22 nm 내지 30 nm로 크게 하고, SRAF의 막두께를 얇게 함으로써, 포커스를 변화시켰을 때 각 SRAF 치수 간에 있어서의 CD 변동은 없고, 거의 동일한 경향을 나타낸다. 즉, SRAF 박막화로 디포커스에 대하여 악영향은 없이, 동일한 치수 정밀도가 얻어진다.
상기한 바와 같이 본 발명의 포토마스크는 보조 패턴 부분만을 박막화함으로써 보조 패턴으로서의 초점 심도 확대 효과를 유지하면서, 콘트라스트가 높은 전사 화상을 형성할 수 있다. 또한, 보조 패턴의 치수를 종래의 치수의 약 2배 정도까지 크게 할 수 있고, 보조 패턴의 종횡비를 작게 함으로써, 보조 패턴의 이지러짐이나 쓰러짐을 저감하는 효과가 얻어진다. 또한, 본 발명의 포토마스크로서 몰리브덴실리사이드계의 단층막으로 한 경우에는, 종래부터의 사용 실적이 있는 하프톤 마스크용 마스크 블랭크스를 그대로 사용할 수 있어, 마스크 품질이 유지되고, 고정밀도의 미세 패턴을 갖는 마스크의 사용이 가능해진다.
B. 포토마스크의 제조 방법
이어서, 본 발명의 포토마스크의 제조 방법에 대해서 설명한다. 상기한 바와 같이 본 발명의 포토마스크는 보조 패턴을 투과하는 광과 투명 기판의 투명 영역을 투과하는 광에 70도 내지 115도 범위의 소정의 위상차를 발생시키는 것을 특징으로 하고 있고, 보조 패턴에 상기 위상차를 발생시키기 위해서, 보조 패턴의 막두께는 주패턴보다도 얇고, 24 nm 내지 40 nm 범위의 소정의 막두께차로 하고 있다. 소정의 막두께차로 하는 방법으로서는, 반투명막의 성막 시에 패턴에 따라서 성막 막두께를 바꾸는 방법과, 반투명막 성막 후에 패턴에 따라서 반투명막을 에칭하여 막두께를 바꾸는 방법이 있다. 본 발명의 포토마스크의 제조 방법은 제조가 용이하고 고정밀도 마스크가 얻어지는 후자의 에칭 방법에 의한 것이다.
(종래의 포토마스크의 제조 방법)
본 발명의 포토마스크의 제조 방법에 대해서 설명하기 전에, 공지된 일반적인 제조 방법을 사용해서 본 발명의 포토마스크를 제조한 경우의 문제점에 대해서 설명하고, 계속해서 본 발명의 포토마스크의 제조 방법에 대해서 설명한다.
도 11은 본 발명의 포토마스크를 공지된 종래의 제조 방법을 사용해서 제조한 경우의 공정 단면 모식도이다. 도 11에 도시하는 바와 같이, 투명 기판(111) 상에 반투명막(112)을 형성하고, 반투명막을 투과하는 광과 투명 기판의 투명 영역을 투과하는 광의 위상차가 180도가 되는 막두께로 하고, 계속해서, 반투명막 상에 차광막(113)을 형성한다(도 11의 (a)). 이어서, 차광막(113) 상에 제1 레지스트 패턴(114)을 형성하고, 차광막(113) 및 반투명막(112)을 순서대로 건식 에칭하여, 주패턴부(115)과 보조 패턴부(116)을 형성한다(도 11의 (b)). 이어서, 제1 레지스트 패턴(154)을 박리하고, 노출된 패턴부의 차광막을 에칭하여 제거한다(도 11의 (c)). 계속해서 주패턴부(115)을 제2 레지스트 패턴(117)으로 덮고, 보조 패턴부를 투과하는 광과 투명 기판의 투명 영역을 투과하는 광이 소정의 위상차가 되는 막두께까지 보조 패턴부의 반투명막을 건식 에칭해서 보조 패턴(118)을 형성하고(도 11의 (d)), 제2 레지스트 패턴(117)을 박리해서 하프톤 마스크(110)을 얻는다(도 11의 (e)).
그러나, 상기 제조 방법에서는, 제2 레지스트 패턴(117)으로 덮여져 있지 않은 투명 기판(111) 표면은 보조 패턴부(116)의 반투명막의 건식 에칭 시에 동시에 에칭되어 버려, 도 11의 (e)에 도시하는 바와 같이, 레지스트 패턴(117)의 경계면에서 투명 기판(111) 표면에 단차(121)을 발생시켜 버려, 마스크 품질을 저하시켜서 실용할 수 없게 된다라고 하는 문제가 발생한다. 따라서, 상기에 나타낸 종래의 마스크 제조 방법은 본 발명의 포토마스크의 제조에는 적용할 수 없다.
(본 발명의 포토마스크의 제조 방법)
〔제1 실시 형태〕
따라서, 본 발명의 포토마스크의 제조 방법은 상기한 문제점을 해결한 제조 방법으로서, ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되고, 투명 기판 상에, 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 주패턴의 근방에 형성되고 전사 대상면에 전사되지 않는 보조 패턴을 설치한 포토마스크의 제조 방법이다.
도 7은 도 1에 도시하는 본 발명의 포토마스크를 제조하는 방법의 제1 실시 형태를 도시하는 공정 단면 모식도이다. 도 7의 (a)에 도시하는 바와 같이, 합성 석영 기판 등의 투명 기판(71) 상에 반투명막(72)을 형성하고, 반투명막(72)을 투과하는 광과 투명 기판(71)의 투명 영역을 투과하는 광의 위상차가 거의 180도가 되는 막두께로 하고, 계속해서 상기 반투명막(72) 상에 차광막(73)을 형성한 포토 마스크 블랭크스를 준비한다.
반투명막(72), 차광막(73)의 형성은 종래 공지된 방법을 적용할 수 있고, 예를 들어 반투명막(72)이 몰리브덴실리사이드산화막(MoSiO)인 경우에는, 몰리브덴과 규소의 혼합 타깃(Mo:Si=1:2 mol%)을 사용하고, 아르곤과 산소의 혼합 가스 분위기에서 반응성 스퍼터링법에 의해 형성할 수 있다. 차광막(73)이 예를 들어 크롬 등의 금속막인 경우에도, 스퍼터링법 등으로 소정의 막두께를 성막해서 형성할 수 있다.
상기 반투명막(72)의 막두께를 광의 위상차가 거의 180도가 되는 막두께로 하는 것은 이하의 이유에 의한다. 반투명막(72)을 건식 에칭해서 마스크 패턴을 형성할 때에는, 통상, 투명 기판(71) 표면도 약간 에칭된다. 에칭의 깊이는 바람직하게는 4 nm이며, 본 발명에서는 상한을 10 nm로 하고 있다. 10 nm를 초과하면 마스크 특성에 좋지 않은 영향을 발생시키게 된다. 따라서, 본 발명의 하프톤 마스크에서는, 반투명막(72)을 건식 에칭 시의 투명 기판(71) 표면의 에칭 깊이를 0 내지 10 nm 범위의 소정의 깊이로 제어하고, 미리 이 깊이를 포함해서 위상차를 설정하는 것이다. 따라서, 성막 시의 반투명막의 두께는 투명 기판의 에칭에 의한 변동을 미리 고려하여, 위상차가 거의 180도가 되는 막두께로 하여 최종적으로 주패턴 형성 후에 180도의 위상차를 얻는 것이다. 이하의 실시 형태에서는, 상기 소정의 에칭 깊이를 일례로서 4 nm로 하여 설명한다. 본 발명에 있어서는, 막두께의 측정에는 원자간력 현미경(AFM)을 사용하고, 위상차의 측정은 위상 쉬프트량 측정 장치(레이저 테크사 제조: MPM193)로 행하였다.
이어서, 상기 차광막(73) 상에 제1 레지스트 패턴(74)을 형성하고, 차광막(73) 및 반투명막(72)을 순서대로 패턴 형상으로 건식 에칭하여 주패턴부(75)와 보조 패턴부(76)를 형성한다(도 7의 (b)).
이어서, 상기 제1 레지스트 패턴(74)을 박리하고, 차광막 상에 제2 레지스트 패턴(77)을 형성하고, 보조 패턴부(76)의 차광막(73)을 에칭하여 제거한다(도 7의 (c)).
반투명막(72)이 예를 들어 몰리브덴실리사이드계 재료의 반투명막인 경우에는, CF4, CHF3, C2F6 등의 불소계 가스, 혹은 이들의 혼합 가스, 혹은 이들 가스에 산소를 혼합한 가스를 에칭 가스로서 사용함으로써 건식 에칭을 행하여 패턴 형성할 수 있다. 또한, 차광막(73)이 예를 들어 크롬인 경우에는, Cl2와 산소의 혼합 가스를 에칭 가스로서 사용해서 건식 에칭하여 반투명막(72) 및 투명 기판(71)에 손상을 주지 않고 패턴 형성할 수 있다. 상기 도 7의 (c)의 공정에서는, 건식 에칭이 아니라, 차광막(73)을 질산 제2 세륨 암모늄염의 수용액 등으로 습식 에칭 해서 제거하는 것도 가능하다.
계속해서, 제2 레지스트 패턴(77)을 박리하고, 투명 기판(71)의 일 주면 상 전체면을 반투명막(72)의 에칭 조건으로 건식 에칭하고, 보조 패턴을 투과하는 광과 투명 기판(71)의 투명 영역을 투과하는 광이 70도 내지 115도 범위의 소정의 위상차가 되는 막두께까지 보조 패턴부의 반투명막을 건식 에칭해서 보조 패턴(78)을 형성한다(도 7의 (d)). 상기 위상차를 얻기 위한 보조 패턴(78)의 에칭량은 주패턴부의 반투명막과의 막두께차에서 24 nm 내지 40 nm 범위의 소정의 막두께차에 상당한다. 주패턴부는 차광막(73)으로 덮여져 있으므로 에칭되지 않아, 반투명막 성막 시의 막두께가 유지되고 있다. 도 7의 (d)의 공정에서는, 건식 에칭함으로써, 마스크 전체면에 균일하고 고정밀도의 에칭을 행할 수 있고, 보조 패턴(78)의 위상차를 소정의 값으로 고정밀도로 제어할 수 있다.
이어서, 주패턴부의 차광막을 에칭하여 제거해서 주패턴(79)을 형성하고, 보조 패턴을 갖고, 주패턴(79)을 투과하는 광과 투명 기판(71)의 투명 영역을 투과하는 광에 180도의 위상차를 발생시키는 하프톤 마스크(70)을 형성한다(도 7의 (e)). 도 7의 (e)의 공정에서는, 차광막(73)을 건식 에칭 혹은 습식 에칭 중의 어느 방법에 의해서도 제거할 수 있다.
상기 제1 실시 형태에 따른 포토마스크의 제조 방법에 의하면, 투명 기판(71) 표면에 도 11에서 설명한 바와 같은 단차를 발생시키지 않고, 보조 패턴(78)을 갖는 고품질의 하프톤 마스크(70)을 얻을 수 있다.
예를 들어, 막두께 68 nm의 몰리브덴실리사이드를 반투명막으로 한 경우, 주패턴(막두께 68 nm)이 ArF 엑시머 레이저 광의 투과율 6%, 투명 기판의 투명 영역과의 위상차 180도이며, 보조 패턴이 주패턴과 막두께차 24 nm 내지 40 nm 범위의 소정의 막두께차이며, 투명 기판의 투명 영역과의 위상차 70도 내지 115도 범위의 소정의 위상차인 고품질의 하프톤 마스크를 용이하게 제조할 수 있다.
〔제2 실시 형태〕
도 8은, 도 1에 도시하는 본 발명의 포토마스크를 제조하는 방법의 제2 실시 형태를 도시하는 공정 단면 모식도이며, 도 7의 (a)와 마찬가지로, 투명 기판(81) 상에 반투명막(82)을 형성하고, 반투명막(82)을 투과하는 광과 투명 기판(81)의 투명 영역을 투과하는 광의 위상차가 거의 180도가 되는 막두께로 하고, 계속해서 상기 반투명막(82) 상에 차광막(83)을 형성한 포토 마스크 블랭크스를 준비한다(도 8의 (a)).
이어서, 차광막(83) 상에 제1 레지스트 패턴(84)을 형성하고, 차광막(83) 및 반투명막(82)을 순서대로 건식 에칭하고, 반투명막(82)을 하프에칭한 도중 단계에서 에칭을 멈춘다. 이 단계에서, 투명 기판(81) 상에는 제거해야 할 반투명막(82)의 박층이 하프에칭된 상태에서 부분적으로 남아 있지만, 주패턴부(85)와 보조 패턴부(86)은 하프에칭 부분을 남긴 상태에서 형성되어 있다(도 8의 (b)). 이 단계에 있어서의 하프에칭된 반투명막(82)의 하프에칭 부분의 막두께는, 후공정에서 보조 패턴의 에칭 시에 동시에 에칭 제거되는 막두께가 되도록 미리 설정해 둔다.
이어서, 상기 제1 레지스트 패턴(84)을 박리하고, 차광막 상에 제2 레지스트 패턴(87)을 형성하고, 보조 패턴부의 차광막을 에칭하여 제거한다(도 8의 (c)). 도 8의 (c)의 공정에서는, 차광막(83)을 건식 에칭 혹은 습식 에칭 중의 어느 방법에 의해서도 제거할 수 있다.
계속해서, 제2 레지스트 패턴(87)을 박리하고, 투명 기판(81)의 일 주면 상 전체면을 반투명막(82)의 에칭 조건으로 건식 에칭하고, 보조 패턴을 투과하는 광과 투명 기판(81)의 투명 영역을 투과하는 광이 70도 내지 115도 범위의 소정의 위상차가 되는 막두께까지 보조 패턴부의 반투명막을 건식 에칭하여 보조 패턴(88)을 형성한다(도 8의 (d)). 상기 위상차를 얻기 위한 보조 패턴(88)의 에칭량은 주패턴과의 막두께차에서 24 nm 내지 40 nm 범위의 소정의 막두께차에 상당한다. 이때, 하프에칭되어서 잔존하는 반투명막(82)의 하프에칭 부분은 동시에 에칭된다. 주패턴부는 차광막(83)으로 덮여져 있으므로 에칭되지 않는다.
이어서, 주패턴부의 차광막을 에칭하여 제거해서 주패턴(89)을 형성하고, 주패턴(89)을 투과하는 광과 투명 기판(81)의 투명 영역을 투과하는 광에 180도의 위상차를 발생시켜서 보조 패턴(88)을 갖는 하프톤 마스크(80)을 형성한다(도 8의 (e)). 도 8의 (e)의 공정에서는, 차광막(83)을 건식 에칭 혹은 습식 에칭 중의 어느 방법에 의해서도 제거할 수 있다.
상기 제2 실시 형태에 따른 포토마스크의 제조 방법에 의하면, 투명 기판(81) 표면에 도 11에서 설명한 바와 같은 단차를 발생시키지 않고, 보조 패턴(88)을 갖는 고품질의 하프톤 마스크(80)을 얻을 수 있다.
〔제3 실시 형태〕
도 9는 도 2에 도시하는 본 발명의 포토마스크를 제조하는 방법의 실시 형태를 도시하는 공정 단면 모식도이다. 도 9의 (a)에 도시하는 바와 같이, 합성 석영 기판 등의 투명 기판(91) 상에 반투명막(92a), 반투명막(92)을 순서대로 성막하여 2층의 반투명막을 형성한다. 하층의 반투명막(92a)은 상층의 반투명막(92)을 건식 에칭할 때의 에칭 정지층의 기능을 갖고, 또한 반투명막의 마스크재로서의 기능도 갖는 것이다. 2층의 반투명막을 투과하는 광과 투명 기판(91)의 투명 영역을 투과하는 광의 위상차는 거의 180도가 되는 막두께로 하고, 계속해서 상기 2층의 반투명막 상에 차광막(93)을 형성한 포토 마스크 블랭크스를 준비한다.
반투명막(92a), 반투명막(92) 및 차광막(93)의 형성은 종래 공지된 방법을 적용할 수 있다. 예를 들어, 하층의 반투명막(92a)으로서 크롬계 재료인 산화크롬막(CrO), 질화크롬막(CrN), 산화질화크롬막(CrON)이 사용된다. 상기 크롬계 재료의 박막은 노광광에 대하여 반투명하고, 몰리브덴실리사이드계 재료의 건식 에칭에 사용하는 불소계 가스에 대하여 내성이 있기 때문이다. 크롬계 재료는 종래 공지된 반응성 스퍼터링법에 의해 형성할 수 있다. 상층의 반투명막(92)로서는 상기 몰리브덴실리사이드계 재료를 예시할 수 있다. 반투명막(92)이 몰리브덴실리사이드산화막(MoSiO)인 경우에는, 몰리브덴과 규소의 혼합 타깃(Mo:Si=1:2 mol%)을 사용하고, 아르곤과 산소의 혼합 가스 분위기에서 반응성 스퍼터링법에 의해 형성할 수 있다. 차광막(93)은 크롬이 사용되고, 스퍼터링법 등으로 소정의 막두께를 성막해서 형성할 수 있다.
이어서, 상기 차광막(93) 상에 제1 레지스트 패턴(94a)을 형성하고, 차광막(93), 반투명막(92) 및 반투명막(92a)을 순서대로 패턴 형상으로 건식 에칭하여 주패턴부(95)와 보조 패턴부(96)를 형성한다(도 9의 (b)). 반투명막(92a)의 에칭 시에는 투명 기판(91)은 손상되지 않는다.
도 9의 (b)의 공정에 있어서, 차광막(93)이 예를 들어 크롬인 경우에는, Cl2와 산소의 혼합 가스를 에칭 가스로서 사용해서 건식 에칭하여, 반투명막 및 투명 기판에 손상을 주지 않고 패턴 형성할 수 있다. 반투명막(92)이 예를 들어 몰리브덴실리사이드계 재료의 반투명막인 경우에는, CF4, CHF3, C2F6 등의 불소계 가스, 혹은 이들의 혼합 가스, 혹은 이들 가스에 산소를 혼합한 가스를 에칭 가스로서 사용함으로써 건식 에칭을 행하여 패턴 형성할 수 있다. 반투명막(92a)이 예를 들어 산화질화크롬막 등의 크롬계 재료인 경우에는, Cl2와 산소의 혼합 가스를 에칭 가스로서 사용해서 건식 에칭할 수 있다.
이어서, 상기 제1 레지스트 패턴(94a)을 박리하고, 차광막 상에 제2 레지스트 패턴(94b)을 형성하고, 보조 패턴부(96)의 차광막(93)을 에칭하여 제거한다(도 9의 (c)). 차광막(93)의 에칭은 건식 에칭이어도 되고, 질산 제2 세륨 암모늄염의 수용액 등으로 습식 에칭하여 제거하는 것도 가능하다.
계속해서, 제2 레지스트 패턴(94b)을 박리하고, 투명 기판(91)의 일 주면 상 전체면을 반투명막(92)의 에칭 조건으로 건식 에칭하고, 보조 패턴을 투과하는 광과 투명 기판(91)의 투명 영역을 투과하는 광이 70도 내지 115도 범위의 소정의 위상차가 되는 막두께까지 보조 패턴부의 반투명막을 건식 에칭해서 보조 패턴(98)을 형성한다(도 9의 (d)). 상기 위상차를 얻기 위한 보조 패턴(98)의 에칭량은 주패턴과의 막두께차에서 24 nm 내지 40 nm 범위의 소정의 막두께차에 상당한다. 주패턴부는 차광막(93)으로 덮여져 있으므로 에칭되지 않는다.
이어서, 주패턴부의 차광막(93)을 에칭하여 제거해서 주패턴(99)을 형성하고, 보조 패턴(98)을 갖고, 주패턴(99)을 투과하는 광과 투명 기판(91)의 투명 영역을 투과하는 광에 180도의 위상차를 발생시키는 하프톤 마스크(90)를 형성한다(도 9의 (e)). 도 9의 (e)의 공정에서는, 차광막(93)을 건식 에칭 혹은 습식 에칭 중의 어느 방법에 의해서도 제거할 수 있다.
상기 제3 실시 형태에 따른 포토마스크의 제조 방법에 의하면, 투명 기판(91) 표면에 도 11에서 설명한 바와 같은 단차를 발생시키지 않고, 마스크면 내나 패턴 사이의 투명 기판의 파여 들어감 깊이의 편차가 방지된 고품질의 하프톤 마스크(90)를 얻을 수 있다.
〔제4 실시 형태〕
도 10은, 본 발명의 포토마스크를 제조하는 방법의 제4 실시 형태를 도시하는 공정 단면 모식도이다. 제4 실시 형태는, 상기 제1 실시 형태 내지 제3 실시 형태에 있어서, 필요로 하는 소정의 개소의 차광막을 남기는 경우의 포토마스크를 제조하는 방법이다.
통상, 투영 노광에 있어서는, 마스크 외주부가 다중 노광되므로 마스크 외주부에 차광 영역을 형성한 포토마스크가 사용된다. 제4 실시 형태는, 포토마스크의 외주부에 차광 영역을 형성하는 예로서, 도중 공정까지는 제1 실시 형태 내지 제3 실시 형태에 나타내는 공정과 동일하므로, 이하, 도 7을 참조하면서, 도 10에 의해 설명한다. 도 10에서는 도 7과 동일한 개소는 동일 부호를 사용하고 있다.
도 10의 (a)에 도시하는 바와 같이, 도 7의 (d)에 도시하는 공정까지 제조 공정을 진행시켜서 보조 패턴부(108)를 형성한다. 이때, 포토마스크로서 필요로 하는 소정의 개소의 차광막은 미리 남겨 둔다. 도 10에서는, 포토마스크의 외주부에 차광 영역으로서의 차광막(104)을 남기는 경우를 예시하고 있다.
이어서, 도 10의 (b)에 도시하는 바와 같이, 필요로 하는 소정의 개소의 차광막(104) 상에 차광 영역용 레지스트 패턴(105)을 형성한다. 차광 영역용 레지스트 패턴(105)은 차광막(104) 상 뿐만 아니라, 보조 패턴(108)을 덮도록 해도 된다. 이어서, 주패턴 상의 차광막(103)을 에칭하여 제거하고(도 10의 (c)), 계속해서 차광 영역용 레지스트 패턴(105)을 박리하고, 주패턴(109)을 형성함과 함께, 보조 패턴(108)을 갖고, 포토마스크의 외주부에 차광 영역으로서의 차광막(104)을 설치한 하프톤 마스크(100)를 형성한다(도 10의 (d)).
상기 제4 실시 형태에 따른 포토마스크의 제조 방법에 의하면, 투명 기판(101) 표면에 도 11에서 설명한 바와 같은 단차를 발생시키지 않고, 마스크 외주부에 차광 영역을 형성한, 보조 패턴을 갖는 고품질의 하프톤 마스크를 얻을 수 있다.
본 발명의 포토마스크의 제조 방법인 제2 실시 형태 및 제3 실시 형태에 있어서도, 마찬가지로 하여 마스크 외주부 등의 원하는 영역에 차광 영역을 형성할 수 있다.
(SRAF 에칭량과 웨이퍼 상 SRAF 치수)
이어서, 본 발명의 제조 방법에 대해서 라인/스페이스 패턴으로 피치를 바꾸었을 때의 실시 형태에 대해서 더욱 상세하게 설명한다.
SRAF를 웨이퍼 상에 전사시키지 않도록 하기 위해서는, 상기한 바와 같이SRAF 광강도/슬라이스 레벨이 1 이상일 필요가 있다. 도 12는 도 3에 도시하는 Cquad 조명에 있어서의 실시 형태에 있어서, 10%의 여유를 갖고 SRAF 광강도/슬라이스 레벨=1.1을 만족하는 SRAF의 에칭량(마스크 상)과 SRAF CD(웨이퍼 상의 치수)의 관계를 도시하는 도면이다. SRAF의 에칭량은 SRAF부의 위상차에 대응하고 있고, SRAF부의 에칭량이 커질수록 웨이퍼 상에 전사된 SRAF 치수는 커진다. SRAF의 에칭량은, 에칭 후의 SRAF 막두께와 주패턴의 막두께(반투명막의 초기 막두께: 68 nm)의 막두께차를 나타낸다.
도 12에 있어서, 도면 중에 점선 화살표로 나타내는 SRAF 에칭량이 48 nm 이상인 영역은 SRAF부의 위상차가 50도 이하인 영역(상기 특허문헌 2의 발명에 기재된 범위)에 상당한다. 이 경우, 웨이퍼 상의 SRAF CD는 50 nm 이상이 된다. 그러나, 웨이퍼 상의 SRAF 치수가 50 nm(4배 마스크 상에서는 200 nm) 이상에서는, 주패턴과 SRAF의 스페이스가 마스크 상에서 200 nm 이하로 좁아져, 마스크 제조 공정에 있어서의 얼라인먼트 어긋남이 거의 허용되지 않는다고 하는 엄격한 값이 된다. 현재의 마스크 제조의 레이저 노광 장치에서는, 통상, 얼라인먼트 어긋남을 고려한 패턴 사이의 스페이스로서 200 nm 이상이 요구되고 있으므로, SRAF 치수가 너무 커도 마스크 제조가 곤란해진다. 한편, SRAF 에칭량이 24 nm(웨이퍼 상의 SRAF CD는 20 nm) 미만에서는, SRAF 치수를 충분히 크게 할 수 없다. 따라서, 도 12에서는, 실선 양방향 화살표로 나타내지는 영역이 마스크 제조를 고려한 바람직한 SRAF 에칭량 영역이다.
(SRAF 에칭량 오차의 주패턴 CD에 대한 영향)
이어서, SRAF의 에칭량에 오차가 발생한 경우, SRAF에 인접한 주패턴 CD에 미치는 영향에 대해서 도 13에 의해 설명한다. 도 13은 도 3에 도시하는 Cquad 조명에 있어서의 실시 형태에 있어서, SRAF 에칭량이 28 nm, 38 nm, 48 nm인 때의 에칭량 오차에 대한 웨이퍼 상의 주패턴 CD 오차를 나타내고, SRAF 에칭량이 클수록, 웨이퍼 상의 주패턴 CD 변동이 큰 것을 알 수 있다. SRAF 에칭량이 48 nm인 때에는, 근소한 에칭 오차가 반복 단부의 주패턴의 치수에 크게 영향을 미치는 것이 나타내어져 있다. 따라서, 본 발명에 있어서는, SRAF 에칭량 48 nm 이상(특허문헌 2의 위상차 50도 이하에 상당)은 제조 공정상 바람직하지 않은 범위이다.
(SRAF 에칭량과 반복 단부 주패턴에 대한 영향)
SRAF 에칭량을 바꾸었을 때, 반복 단부 주패턴 CD와 디포커스에 대한 영향 및 광강도 분포에 대해서 설명한다.
도 14는 도 3에 도시하는 Cquad 조명에 있어서의 실시 형태에 있어서, SRAF 에칭량을 24 nm 내지 48 nm의 범위에서 4 nm마다 바꾸었을 때, 웨이퍼 상의 반복 단부의 주패턴 CD와 디포커스(Defocus)의 관계를 도시하는 도면이다. 참고로, SRAF 자체가 없는 경우, SRAF 에칭이 없는 경우도 도시되어 있다. SRAF 에칭량 24 nm 내지 40 nm의 범위에서는, 디포커스의 변화에 대하여 주패턴 CD의 변동은 비교적 완만해서 거의 동일한 거동을 나타낸다. 그러나, SRAF 에칭량 44 nm, 48 nm에서는, 디포커스의 변화에 대하여 주패턴 CD는 큰 변동을 나타낸다.
도 15는 도 3에 도시하는 Cquad 조명에 있어서의 실시 형태에 있어서, SRAF 에칭량을 24 nm 내지 48 nm의 범위에서 4 nm마다 바꾸었을 때, 웨이퍼 상의 반복 단부의 주패턴의 광강도 분포를 나타낸다. SRAF 에칭량 24 nm 내지 40 nm의 범위에서는, 광강도 분포의 기울기는 비교적 크고 거의 동일한 거동을 나타낸다. 그러나, SRAF 에칭량 44 nm, 48 nm에서는, 광강도 분포의 기울기가 작아져, 주패턴의 해상성이 낮아지는 것이 나타내어진다.
따라서, 도 12 내지 도 15에 도시하는 결과로부터, SRAF 에칭량 44 nm 이상은 부적절한 범위이며, 초점 심도를 향상시켜 고해상의 패턴을 형성하기 위해서는, SRAF 에칭량은 24 nm 내지 40 nm가 바람직한 범위이다. 이 에칭량은 위상차 115도 내지 70도에 상당한다. 위상차의 측정은 상기 위상 쉬프트량 측정 장치(레이저 테크사 제조: MPM193)로 행하였다.
(주패턴 사이 SRAF에서의 검증)
이어서, 다른 실시 형태로서 주패턴 사이에 보조 패턴(SRAF)이 있는 경우에 대해서 본 발명을 검증한다.
시뮬레이션 소프트웨어로서는, 상기와 동일하게 EM-Suite(상품명: 파노라믹 테크놀로지사 제조)를 사용하였다. 주된 시뮬레이션 조건은 ArF 엑시머 레이저(193 nm)를 조명 광원으로 하고, NA는 1.35, 도 16에 도시하는 퀘이사(Quasar; 등록 상표) 퓨필 필터(161)을 사용하였다. 동 도면의 (a)는 Quasar(161)의 평면 모식도, 동 도면의 (b)는 Quasar(161)을 사용해서 마스크(163)에 노광광을 조사했을 때(Quasar 조명이라고 기재함)의 사시 모식도, 동 도면의 (c)는 마스크 패턴(164)의 평면 모식도이다. Quasar는 부채 형상 광투과부의 개구각 30도, 외경 0.85, 내경 0.65(퓨필 필터의 반경을 1로 함)로 하였다. 마스크로서는 몰리브덴실리사이드계의 노광 파장 193 nm에 있어서의 투과율 6%의 본 발명의 보조 패턴을 갖는 하프톤 마스크(6% 하프톤)을 사용하였다. 웨이퍼 상의 타깃 CD는 60 nm, 주패턴(165)의 사이에 SRAF(166)가 1개씩 있고, 패턴 피치는 최소 피치 120 nm부터의 스루 피치·라인/스페이스이고, SRAF(166)는 피치 250 nm로 하였다.
도 17은 도 16에 도시하는 Quasar 조명의 실시 형태에 있어서, SRAF의 에칭량(마스크 상)과 SRAF CD(웨이퍼 상의 치수)의 관계를 도시하는 도면이다. 도 17에 있어서, 도 12와 마찬가지로, 도면 중에 점선 화살표로 나타내는 SRAF 에칭량이 48 nm 이상인 영역은 SRAF부의 위상차가 50도 이하인 영역(상기 특허문헌 2의 발명에 기재된 범위)에 상당한다. 본 실시 형태의 경우에는, 도 12에 도시된 라인/스페이스 반복 단부의 주패턴, Cquad의 조건에 비하여, 원래의 SRAF 치수가 웨이퍼 상에서 9 nm(마스크 상에서 36 nm)로 매우 작기 때문에, SRAF 에칭량이 48 nm 이상인 영역에 있어서도, 웨이퍼 상의 SRAF 치수가 너무 크다라고 하는 문제는 발생하지 않는다.
도 18은 도 16에 도시하는 Quasar 조명의 실시 형태에 있어서, 마스크 상의 SRAF의 에칭량에 오차가 발생한 경우, 웨이퍼 상의 주패턴 CD에 미치는 영향에 대해서 도시하는 도면이다. 도 13과 마찬가지로, SRAF 에칭량은 28 nm, 38 nm, 48 nm인 경우를 나타낸다. 도 18이 도시하는 바와 같이, SRAF의 에칭량 오차에 대하여 웨이퍼 상의 주패턴 CD 오차는 지극히 작다.
도 19는 도 16에 도시하는 Quasar 조명의 실시 형태에 있어서, 도 14와 마찬가지로, SRAF 에칭량을 24 nm 내지 48 nm의 범위에서 4 nm마다 바꾸었을 때, 주패턴 CD와 디포커스(Defocus)의 관계를 도시하는 도면이다. 참고로, SRAF가 없는 경우, SRAF 에칭이 없는 경우도 도시되어 있다.
도 19에 도시된 바와 같이, SRAF 없음에 대하여, 도면 중의 실선 화살표에 도시하는 바와 같이, SRAF를 설치함으로써 초점 심도는 확대된다. 그러나, SRAF 에칭 없음의 경우이어도, 디포커스에 대하여 비대칭이다. 도면 중의 점선 화살표에 도시하는 바와 같이, SRAF의 에칭량을 증가시킬수록 디포커스의 비대칭성은 확대되어 가고, 디포커스의 마이너스 측에서 웨이퍼 상의 주패턴 CD는 높아지고, 디포커스의 플러스 측에서 웨이퍼 상의 주패턴 CD는 낮아져서, 웨이퍼 상의 주패턴의 치수 변동은 비대칭이 된다. 예를 들어, 에칭량 48 nm로 SRAF 에칭량을 증가시키면, 비대칭성 때문에 전사 화상 특성이 나빠진다. 도 17 내지 도 19에 도시하는 결과로부터, 본 발명에 있어서는, SRAF 에칭량의 상한을 40 nm로 설정하였다. 따라서, 주패턴 사이 SRAF(Quasar 조명)의 경우도, 주패턴 반복 단부 SRAF(Cquad 조명)와 마찬가지로, 본 발명의 포토마스크가 나타내는 효과가 검증되었다.
상기한 바와 같이 본 발명의 포토마스크의 제조 방법은 주패턴과 보조 패턴이 동일 재료로 이루어지는 반투명막으로 구성되어 있으므로, 반투명막의 성막 공정이 용이하다. 또한, 보조 패턴을 투과하는 광과 투명 기판의 투명 영역을 투과하는 광의 위상차를 70도 내지 115도 범위의 소정의 위상차로 하고, 보조 패턴의 반투명막을 건식 에칭하고, 주패턴과 보조 패턴의 막두께차를 24 nm 내지 40 nm 범위의 소정의 막두께차로서, 즉 보조 패턴의 에칭량으로서 구함으로써, 원하는 보조 패턴의 위상차를 용이하게 얻을 수 있다. 또한, 주패턴과 보조 패턴 사이의 스페이스를 보다 넓게 하고, 얼라인먼트 어긋남의 여유도를 높인 제조 방법으로 할 수 있어, 마스크 제조의 난이도를 높이지 않고 패턴의 전사 특성을 개선하는 포토마스크를 얻을 수 있다.
C. 포토마스크
본 발명의 포토마스크는 투명 기판의 일 주면 상에 상기 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 상기 주패턴의 근방에 형성되고 상기 전사 대상면에 전사되지 않는 보조 패턴을 설치한 포토마스크로서, 상기 주패턴과 상기 보조 패턴이 동일 재료로 이루어지는 반투명막으로 구성되어 있고, 상기 보조 패턴의 막두께가 상기 주패턴의 막두께보다도 얇고, 막두께차가 24 nm 내지 40 nm 범위의 소정의 막두께차인 것을 특징으로 하는 것이다.
본 발명의 포토마스크에 의하면, 주패턴 및 보조 패턴의 막두께차를 소정의 범위로 함으로써, 보조 패턴의 일부가 이지러지거나, 보조 패턴이 기판 표면으로부터 박리되거나, 보조 패턴이 그의 선폭 방향으로 쓰러지거나 하는 현상이 발생하는 것을 억제할 수 있다.
본 발명의 포토마스크로서는, 상술한, 도 1 및 도 2에 도시된 포토마스크와 동일한 것을 예시할 수 있다.
또한, 본 발명의 포토마스크는 노광 광원으로서 단파장의 노광 광원을 사용하는 것이 바람직하다. 이러한 단파장의 노광 광원으로서는, 예를 들어 ArF 엑시머 레이저, KrF 엑시머 레이저 등의 엑시머 레이저 및 수은등의 i선 등을 들 수 있고, 그 중에서도, 엑시머 레이저가 바람직하고, 특히 ArF 엑시머 레이저가 바람직하다.
또한, 본 발명의 포토마스크는 통상의 조명에 의한 노광에 사용되는 포토마스크이어도 되고, 변형 조명에 의한 투영 노광에 사용되는 포토마스크이어도 된다. 또한, 포토마스크의 부재에 관한 및 기타의 기술적 특징에 관한 설명은, 상기 「A. 포토마스크」 및 상기 「B. 포토마스크의 제조 방법」에 기재한 내용과 마찬가지이므로, 여기서의 기재는 생략한다.
D. 포토마스크의 수정 방법
본 발명의 포토마스크의 수정 방법이 대상으로 하는 포토마스크는 ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되는 마스크이며, 바람직하게는 웨이퍼 상의 하프 피치가 65 nm 이하, 나아가 45 nm, 32 nm의 미세한 반도체 소자 형성에 사용되는 것을 대상으로 하는 보조 패턴을 갖는 마스크이다.
(보조 패턴을 갖는 포토마스크의 전사 특성)
본 발명의 수정 방법에 대해서 설명하기 전에, 우선 보조 패턴을 갖는 포토마스크의 전사 특성에 대해서 하프톤 마스크를 예로 해서 설명한다. 본 발명자는 웨이퍼 상에 하프 피치 45 nm 이하의 세밀 패턴을 형성하기 위한 보조 패턴을 갖는 하프톤 마스크의 전사 특성을 바이너리 마스크와 비교하면서, 시뮬레이션에 의해 조사하였다.
시뮬레이션에 있어서는, 마스크 패턴의 전사 특성을 어림하기 위한 시뮬레이션 소프트웨어로서 EM-Suite(상품명: 파노라믹 테크놀로지사 제조)를 사용하였다. 주된 시뮬레이션 조건은 상술한 도 3을 사용해서 설명한 내용과 마찬가지이다.
도 4는 시뮬레이션에 사용한 평가 패턴(동 도면의 (a))과, 평가 패턴의 위치에 대응한 광강도를 나타내는 공간상의 도면(동 도면의 (b))이다. 평가 패턴의 내용에 대해서는, 상술한 도 4를 사용해서 설명한 내용과 마찬가지이다.
또한, 상기 보조 패턴을 갖는 하프톤 마스크에 있어서, 라인/스페이스 패턴의 단부의 보조 패턴(SRAF)의 전사성에 대해서는 상술한 바와 같다.
도 23은 상기 시뮬레이션에 의해 얻어진 주패턴과 보조 패턴(SRAF)의 막두께가 동일한 경우의 하프톤 마스크와 바이너리 마스크에 있어서의, 웨이퍼 상에서의 SRAF의 CD(횡축)에 대하여, 규격화된 광강도 임계값의 슬라이스 레벨에 대하여 SRAF부의 광강도의 비(종축)을 도시하는 도면이다. 하프톤 마스크(도면 중의 삼각점)은 주패턴의 CD가 3가지(웨이퍼 상에서 32 nm; 36 nm; 40 nm)인 경우를 나타낸다. 상기 비가 1 이하이면 SRAF는 전사되어 버리므로, SRAF가 전사되지 않도록 하기 위해서는 상기 비를 1을 초과한 값으로 해야 한다. 도면 중에 점선으로 나타내는 하프톤 마스크의 주패턴 CD가 32 nm(마스크 상에서는 128 nm)인 때에는, SRAF의 CD를 14 nm(마스크 상에서는 56 nm) 이하로 하지 않으면 SRAF가 해상되어 버리게 된다.
상기는, SRAF를 갖는 6% 하프톤 마스크를 사용한 경우의 시뮬레이션 결과로서, 하프톤 마스크의 주패턴 CD가 32 nm로 미세할 때에는, SRAF 치수가 지극히 작아져, 실제의 마스크 제조가 곤란한 것을 알 수 있다.
<본 발명의 포토마스크의 수정 방법>
이어서, 상기 결과를 참고로 하면서, 본 발명의 포토마스크의 수정 방법의 실시 형태에 대해서 도면에 기초하여 상세하게 설명한다. 이하의 마스크 패턴의 전사 특성의 설명에서는, 상기 도 3에 도시하는 Cquad 퓨필 필터(31)를 사용하고, 시뮬레이션 소프트웨어로서 EM-Suite(상품명: 파노라믹 테크놀로지사 제조)를 사용하였다. 주된 시뮬레이션 조건은 ArF 엑시머 레이저(193 nm)를 조명 광원으로 하고, NA는 1.35이다. 평가 패턴은 상기 도 4의 (a)에 도시하는 패턴을 사용하고 있다.
도 25는 본 발명의 보조 패턴을 갖는 포토마스크의 수정 방법의 일 실시 형태의 공정 개요를 도시하는 단면 모식도이며, 라인/스페이스 패턴을 설치한 하프톤 마스크의 경우를 예시하고 있다. 도 25의 (a)는 수정 전의 포토마스크의 단면 모식도이며, 합성 석영 기판 등의 투명 기판(11) 상에 노광광을 소정의 투과율로 투과시켜 위상을 바꾸는 주패턴(12)이 설치되고, 주패턴(12)은 단층의 반투명막(14)으로 구성되고, 주패턴(12)의 근방에 주패턴(12)과 동일 재료로 동일 막두께의 반투명막으로 구성된 보조 패턴(SRAF)(13)이 형성된 하프톤 마스크(10)이다. 도 25에서는, 주패턴(12), 보조 패턴(13) 모두 2개이고, 마스크 패턴의 일부 밖에 나타 나 있지 않지만, 물론 이것에 한정되는 것은 아니다. 또한, 주패턴은 고립 패턴 또는 주기 패턴이어도 된다.
본 실시 형태의 보조 패턴을 갖는 하프톤 마스크(10)는 주패턴(12)을 투과하는 노광광과 투명 기판(11)의 패턴이 없는 투명 영역을 투과하는 노광광에서 180도의 위상차를 발생시키게 설정되어 있다. 위상차의 측정은 위상 쉬프트량 측정 장치(예를 들어, 레이저 테크사 제조: MPM193)로 행할 수 있다.
여기서, 도 25의 (a)에 도시하는 하프톤 마스크(10)는 ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 의해 웨이퍼 상에 마스크 패턴을 전사했을 때에, 주패턴(12)에 근접한 보조 패턴(13a 및 13b)이 전사 대상면의 웨이퍼 상에 해상되는 마스크이다.
도 25의 (b)는 상기 웨이퍼 상에 보조 패턴(13a 및 13b)이 해상되는 포토마스크의 수정 중의 상태를 도시하는 단면 모식도이다. 상기 전사 대상면에 해상되는 보조 패턴(13a 및 13b)은 마스크 상에 본래 있어서는 안되는 불필요한 잉여 결함, 소위 「흑 결함」이라고 불리고 있는 결함과는 다른 성질의 것으로서, 웨이퍼 상에의 마스크 패턴 형성에 있어서 필요 불가결한 영역이다. 마스크 상의 전사 대상면에 해상되는 보조 패턴(13a 및 13b)은 흑 결함을 검출하는 종래의 마스크 결함 검사 장치로는 결함으로서 검출할 수 없다. 해상되는 보조 패턴(13a 및 13b)의 검출은, 예를 들어 에어리얼 이미지 측정 시스템(Aerial Image Measurement System: 칼자이스사 제조, AIMS(등록 상표)로 약칭되며, 이후, AIMS라고도 기재함) 등의 리소그래피 시뮬레이션 현미경을 사용한 검증이나, 실제의 노광 장치에 의한 노광 테스트로 행할 수 있다.
본 발명의 수정 방법에 있어서는, 전사 대상면에 해상되는 보조 패턴(13a 및 13b)의 해당하는 영역의 표면을 에칭 혹은 연삭하여 보조 패턴(13a 및 13b)이 전사 대상면인 웨이퍼 상에 해상되지 않게 될 때까지 보조 패턴(13a 및 13b)의 해당하는 영역의 막두께를 얇게 하는 것이다. 도 25의 (b)는 일례로서, 전자 빔 마스크 수정 장치에 의해, 웨이퍼 상에 해상되는 보조 패턴(13a)의 영역을 에칭하여 막두께를 얇게 해서 수정하고 있는 경우를 예시하고 있다.
수정에 있어서는, 반드시 1 라인 혹은 복수 라인의 보조 패턴 전체면을 에칭하여 박막화할 필요는 없고, 해상되는 보조 패턴의 영역만을 에칭하여 막두께를 얇게 하면 된다. 물론, 1 라인의 보조 패턴 전체면이 전사되는 경우에는, 1 라인 전체면의 막두께를 얇게 하면 되고, 복수의 라인의 보조 패턴 전체면이 전사되는 경우에는, 복수의 라인 전체면의 막두께를 얇게 하면 된다.
상기 전사 대상면에 해상되는 보조 패턴(13a 및 13b)의 표면을 에칭 혹은 연삭하는 공정에 있어서, 보조 패턴(13a)을 에칭 혹은 연삭해서 제거해야 할 막두께는 미리 시뮬레이션에 의해 구해 둘 수 있다.
도 25의 (c)는 전사 대상면에 해상되는 보조 패턴(13a 및 13b)의 표면을 에칭하여 막두께를 얇게 해서 보조 패턴(13a', 13b')로 한 수정 후의 포토마스크의 상태를 도시하는 단면 모식도이다. 수정 개소는 에칭에 의해 막두께차 T만큼 수정 전의 막두께보다도 얇아져 있다.
본 발명에 있어서, 상기 전사 대상면에 해상되는 보조 패턴의 영역의 막두께를 얇게 하는 방법으로서는, 종래 포토마스크 상의 흑 결함의 수정에 사용되어 온 각종 방법을 적용할 수 있다. 예를 들어, 집속 이온 빔(FIB) 마스크 수정 장치의 이온 빔을 사용한 가스 어시스트 에칭 방법, 혹은 상기 도 25의 (b)에 도시하는 바와 같이, 전자 빔(EB) 마스크 수정 장치의 전자 빔(EB)을 사용한 가스 어시스트 에칭 방법, 혹은 원자간력 현미경(AFM)의 탐침을 사용해서 결함을 갖는 보조 패턴을 물리적으로 연삭하는 방법, 혹은 마스크 상에 레지스트 패턴을 형성해서 보조 패턴의 결함 영역만을 노출시키고, 건식 에칭에 의해 선택적으로 결함 영역의 막두께를 얇게 하는 방법 등이 사용된다.
그러나, 상기 방법 중, FIB 마스크 수정 장치에 의한 가스 어시스트 에칭 방법은, 이온 빔으로서 통상 사용되는 갈륨이 투명 기판에 타입되어 갈륨 스테인이라고 하는 현상에 의해 수정 부위의 광투과율을 저하시키거나, 혹은 수정 부위의 주변의 투명 기판에 오버 에칭에 의한 리버 베드라고 불리는 투명 기판의 파여 들어감 현상이 발생하기 쉽다. 또한, 레지스트 패턴을 형성하는 방법은, 레지스트 도포, 패턴 묘화, 레지스트 박리의 공정이 필요해서, 수정 공정이 길어진다라고 하는 문제를 발생시킨다.
한편, EB 마스크 수정 장치에 의한 가스 어시스트 에칭 방법은, 일례로서, 도 25의 (b)에 도시하는 바와 같이, 결함을 갖는 보조 패턴(13a)에 집중적으로 주사하는 전자 빔(16)의 근처의 가스 노즐(15)로부터 에칭에 최적인 어시스트 가스가 토출되고, 가스의 분자가 수정해야 할 보조 패턴(13a) 표면에 부착되고, 전자 빔에 의해 화학 반응이 일어나, 보조 패턴 재료를 휘발성의 물질로 바꾸어서 에칭이 행해지는 방법으로서, 미세 패턴에 적합하고, 수정 개소에 손상을 주지 않는 방법이다. 수정 개소의 확인은 EB 마스크 수정 장치에 구비된 SEM으로 행한다. 상기 EB 마스크 수정 장치로서는, 예를 들어 MeRiT65(칼 자이스사 제조) 등이 있다.
또한, AFM의 탐침으로 연삭하는 방법은 다이아몬드 바늘 등의 단단한 탐침에 일정한 가중을 가하여 결함을 직접 깎아내는 방법으로서, 캔틸레버의 선단에 탐침을 설치하고, 원자간력 현미경의 원리를 사용해서 캔틸레버를 제어하고 있다. 수정 개소의 확인은 AFM에 구비된 SEM으로 행하고, 수정해야 할 결함을 갖는 보조 패턴 표면을 탐침으로 주사하고, 다음으로 결함 그 자체를 탐침으로 깍아내는 방법이다. 미세 패턴의 수정에 적합하고, 삭제할 면적, 막두께가 작은 경우에 적합한 방법이다. 상기 AFM의 탐침을 사용한 마스크 수정 장치로서는, 예를 들어 RAVE nm650(RAVE사 제조) 등이 있다.
따라서, 본 발명에 있어서는, 미세 패턴을 형성한 보조 패턴의 막두께를 얇게 하는 방법으로서는, 상기 EB 마스크 수정 장치에 의한 가스 어시스트 에칭 방법 혹은 AFM의 탐침으로 연삭하는 방법이 보다 바람직하다.
본 발명에 있어서, 도 25의 (c)에 도시하는 바와 같이, 에칭 혹은 연삭해서 얇게 한 수정 후의 보조 패턴(13a', 13b')의 막두께와, 수정 전의 보조 패턴(13a, 13b)의 막두께의 막두께차 T(도면 중의 SRAF 막두께차)는 1 nm 내지 40 nm의 범위인 것이 바람직하다. 막두께의 측정은 원자간력 현미경(AFM)으로 행할 수 있다.
보조 패턴은 본래 전사 대상면에 해상되지 않도록 마스크 설계해서 제작되어 있으므로, 웨이퍼 상에 전사되는 보조 패턴은 그의 일부분인 경우가 많고, 또한 수정해야 할 막두께는 매우 얇아도 되는 경우가 많다. 따라서, 수정해야 할 막두께는 근소한 경우도 있을 수 있는 것이며, 본 발명에서는 수정해야 할 막두께의 하한을 수정 가능한 1 nm로 하고 있다. 수정 막두께 1 nm 미만에서는, 수정의 효과를 확인할 수 없기 때문이다. 한편, 보조 패턴의 특성상, 수정해야 할 막두께의 상한을 40 nm로 하고 있다. 수정해야 할 막두께가 40 nm를 초과해 버리면, SRAF에 의한 주패턴의 해상도 향상 효과나 초점 심도 확대 효과 등, 본래의 SRAF의 기능이 저감 혹은 소멸해 버리기 때문이다.
(본 발명의 수정 방법을 적용할 수 있는 포토마스크)
본 발명의 포토마스크의 수정 방법은 보조 패턴을 갖는 마스크이면, 하프톤 마스크, 바이너리 마스크 중의 어느 마스크에도 사용할 수 있고, 특별히 한정될 일은 없지만, 도 26에 보조 패턴을 갖는 하프톤 마스크 및 바이너리 마스크의 대표적인 마스크를 예시하면서 설명한다. 도 26에 있어서, 동일한 부위를 나타낼 경우에는 동일 부호를 사용하고 있다. 물론 본 발명의 포토마스크의 수정 방법은 도 26에 도시하는 포토마스크에 한정되는 것은 아니다.
도 26의 (a) 내지 도 26의 (d)는 본 발명의 수정 방법을 적용할 수 있는 주패턴과 보조 패턴이 반투명막으로 구성되어 있는 하프톤 마스크의 예를 도시하는 부분 단면 모식도이다. 도 26의 (a) 내지 도 26의 (d)의 포토마스크는 투명 기판(41) 상에 주패턴(42)과 보조 패턴(43)이 설치되어 있고, 모든 마스크가 주패턴(42)을 투과하는 노광광과 투명 기판(41)의 투명 영역을 투과하는 노광광에서 180도의 위상차를 발생하는 막두께이도록 주패턴(42)의 막두께가 설정되어 있다.
또한, 도 26의 (a)는 주패턴(42)과 보조 패턴(43)이 동일한 반투명막이며 동일한 막두께로 구성되어 있는 하프톤 마스크이다. 도 26의 (b)는 주패턴(42)과 보조 패턴(43)이 동일한 반투명막으로 구성되고, 보조 패턴(43)을 투과하는 노광광과 투명 기판(41)의 투명 영역을 투과하는 노광광에 70도 내지 115도 범위의 소정의 위상차를 발생시키도록 한 마스크이며, 보조 패턴(43)의 막두께를 주패턴(42)의 막두께보다도 얇게 한 마스크이다. 도 26의 (c)는 주패턴(42)이 투명막/반투명막의 2층으로 구성되고, 보조 패턴(43)이 반투명막으로 이루어지는 마스크이며, 반투명막층의 막두께는 주패턴(42)과 보조 패턴(43) 모두 동일하다. 도 26의 (d)는 주패턴(42)이 반투명막/반투명막의 2층으로 구성되고, 보조 패턴(43)이 반투명막으로 이루어지는 마스크이며, 투명 기판(41)에 접한 반투명막층의 막두께는 주패턴(42)과 보조 패턴(43) 모두 동일하다.
상기 도 26의 (a) 내지 도 26의 (d)에 도시된 보조 패턴을 갖는 마스크는 대표적인 예이며, 본 발명의 수정 방법을 적용할 수 있는 마스크로서는, 주패턴과 보조 패턴이 반투명막/반투명막의 2층으로 구성된 마스크 등에도 적용할 수 있다.
도 26의 (e) 및 도 26의 (f)는 주패턴이 노광광을 차광하는 차광막으로 구성되어 있는 바이너리 마스크의 예를 도시하는 부분 단면 모식도이다. 도 26의 (e)는 투명 기판(41) 상에 주패턴(42)이 차광막/반투명막의 2층으로 구성되고, 보조 패턴(43)이 반투명막으로 이루어지는 마스크이며, 반투명막층의 막두께는 주패턴(42)과 보조 패턴(43) 모두 동일하다. 도 26의 (f)는 주패턴(42)과 보조 패턴(43)이 동일한 차광막에 의해 동일한 막두께로 구성되어 있는 마스크이다.
상기한 바와 같이 본 발명의 수정 방법을 적용하는 포토마스크에 있어서의 주패턴 및 보조 패턴의 반투명막은 노광광을 소정의 투과율로 투과하는 반투명의 박막을 의미하는 것이며, 박막이 반투명의 단층막, 혹은 반투명막과 투명막 혹은 투과율이 상이한 다른 반투명막의 2층막 이상의 구성이어도 된다. 또한, 본 발명의 수정 방법을 적용하는 포토마스크에 있어서의 주패턴 및 보조 패턴의 차광막은 노광광을 차광하는 박막을 의미하는 것이며, 박막이 차광막의 단층막, 혹은 차광막과 반투명막을 갖는 2층막 이상의 구성이어도 된다.
본 발명의 포토마스크의 수정 방법에 있어서, 도 26의 (a) 내지 도 26의 (e)에 도시하는 마스크의 주패턴(42) 및 보조 패턴(43)을 구성하는 반투명막으로서는, 재료로서 특별히 한정되는 것은 아니지만, 예를 들어 몰리브덴실리사이드계 재료인 몰리브덴실리사이드산화막(MoSiO), 몰리브덴실리사이드질화막(MoSiN), 몰리브덴실리사이드산화질화막(MoSiON) 등의 반투명막, 크롬계 재료인 산화크롬막(CrO), 산화크롬막(CrN), 산화질화크롬막(CrON) 등의 반투명막, 산화주석(SnO2) 등의 반투명막을 들 수 있다. 몰리브덴실리사이드계 반투명막은 하프톤 마스크 재료로서 실용되고 있어, 보다 바람직한 재료이다. 도 26의 (c)에 도시하는 주패턴(42)을 구성하는 투명막으로서는, 산화 실리콘막(SiO2) 등을 들 수 있다. 도 26의 (e)에 도시하는 주패턴(42)을 구성하는 차광막 및 도 26의 (f)에 도시하는 마스크의 주패턴(42) 및 보조 패턴(43)을 구성하는 차광막으로서는, 크롬막(Cr) 등의 금속 박막, 몰리브덴실리사이드(MoSi) 등의 금속 실리사이드 박막을 들 수 있다.
도 25의 (b)에 도시하는 전자 빔 마스크 수정기 전자 빔을 사용한 가스 어시스트 에칭 공정에 있어서, 주패턴(42) 및 보조 패턴(43)을 구성하는 반투명막이 예를 들어 몰리브덴실리사이드계 재료의 반투명막인 경우에는, CF4, CHF3, C2F6 등의 불소계 가스, 혹은 이들의 혼합 가스, 혹은 이들 가스에 산소를 혼합한 가스를 어시스트 가스로서 사용해서 선택적으로 에칭을 행하여 보조 패턴의 막두께를 선택적으로 얇게 할 수 있다. 또한, 주패턴(42) 및 보조 패턴(43)을 구성하는 차광막이 예를 들어 크롬인 경우에는, Cl2와 산소의 혼합 가스를 어시스트 가스로서 사용해서 선택적으로 에칭을 행하여 보조 패턴의 막두께를 선택적으로 얇게 할 수 있다.
(수정 후의 보조 패턴의 전사성)
이어서, 도 25에 도시된 본 발명의 포토마스크의 수정 방법에 의해 보조 패턴(SRAF) 표면을 에칭 혹은 연삭하여 보조 패턴의 막두께를 얇게 수정한 박막화의 효과에 대해서 설명한다. 마스크로서는, 일례로서, 도 26의 (a)에 도시하는 부분 단면 모식도의 형상에서, 막두께 68 nm의 몰리브덴실리사이드를 반투명막으로 하고, 주패턴(막두께 68 nm)이 ArF 엑시머 레이저 광(193 nm)의 투과율 6%, 투명 기판의 투명 영역과의 위상차 180도이며, 수정 전의 보조 패턴의 막두께도 68 nm인 하프톤 마스크를 예로 해서 설명한다.
시뮬레이션 소프트웨어로서는, EM-Suite(상품명: 파노라믹 테크놀로지사 제조)를 사용하였다. 주된 시뮬레이션 조건은 조명 광원 ArF 엑시머 레이저(193 nm), NA 1.35, 변형 조명으로 하고, Cquad 퓨필 필터를 사용하고, Cquad(21)는 부채 형상 광투과부의 개구각 35도, 외경 0.9, 내경 0.7(퓨필 필터의 반경을 1로 함)로 하였다. 마스크에는 상기 하프톤 마스크의 값을 사용하였다.
도 5는 시뮬레이션에 의해 얻어진 결과이며, 웨이퍼 상에서의 주패턴의 CD가 32 nm인 상기 하프톤 마스크에 있어서, SRAF의 CD를 바꾸었을 때, SRAF 막두께차(횡축)과 SRAF의 광강도/규격화된 광강도 임계값의 슬라이스 레벨(종축)의 관계를 도시하는 도면이다. 도 5에 있어서는, SRAF의 광강도/슬라이스 레벨을 1 이상으로 하지 않으면, SRAF가 웨이퍼 상에 해상되는 것을 나타낸다.
도 5가 도시하는 바와 같이, SRAF의 CD가 14 nm(마스크 상에서는 56 nm)로 미세할 때에는, 수정 후의 SRAF 막두께차가 0으로 동일(수정이 되지 않은 경우와 동일함)하여도, SRAF의 광강도/슬라이스 레벨은 1 이상이며, 본래적으로 SRAF는 전사되지 않게 된다. 이어서, SRAF의 CD가 22 nm(마스크 상에서는 88 nm)인 때에는, 수정 후의 SRAF의 막두께차가 24 nm 이상이면 SRAF는 해상되지 않아 전사되지 않는 것이 나타내어진다. 마찬가지로, SRAF의 CD가 26 nm(마스크 상에서 104 nm)인 때, 수정 후의 SRAF의 막두께차 30 nm 이상, SRAF의 CD가 30 nm(마스크 상에서(88 nm)인 때, 수정 후의 SRAF의 막두께차가 34 nm 이상이면 SRAF는 전사되지 않는다.
상기 도 5 및 도 23에서 설명한 바와 같이, 주패턴과 보조 패턴(SRAF)이 동일 재료, 동일 막두께로 구성된 하프톤 마스크에서는, 주패턴의 CD를 32 nm로 하면 SRAF의 CD가 14 nm 이하로 밖에 SRAF가 사용되지 않았지만, 상기한 바와 같이 전사되는 SRAF를 박막화하는 본 발명의 수정 방법을 적용함으로써, SRAF의 CD를 26 nm 내지 30 nm로 2배 정도로 큰 치수로 해도, SRAF가 해상되지 않아 전사되지 않고 사용하는 것도 가능해진다. 본 발명의 수정 방법에 의해 종래 미세화가 어려워 사용하는 것이 곤란했던 SRAF를 갖는 하프톤 마스크의 사용 가능성이 넓어진다.
이어서, SRAF를 수정에 의해 얇게 했을 때의 영향에 대해서 설명한다. 도 6은 시뮬레이션에 의해 얻어진 SRAF의 CD를 바꾸었을 때, 웨이퍼 상의 주패턴 단부의 라인의 CD와 디포커스(Defocus: 초점 위치 변동)의 관계를 도시하는 도면이다. 각각의 SRAF의 CD에 대하여, SRAF가 해상되지 않도록 에칭에 의한 수정에 의해 막두께를 얇게 하고, 수정 전의 막두께의 막두께차(SRAF 막두께차: 24 nm, 32 nm, 40 nm)을 갖고 있다. 도 6에 도시된 바와 같이, SRAF의 CD를 22 nm 내지 30 nm(웨이퍼 상)로 크게 하고, SRAF의 막두께를 얇게 하는 수정을 행함으로써, 포커스를 변화시켰을 때의 각 SRAF 치수 간에 있어서의 CD 변동은 없고, 거의 동일한 경향을 나타낸다. 즉, 본 발명의 수정 방법에 의한 SRAF의 박막화는 디포커스에 대하여 악영향은 없이, 수정을 하고 있지 않은 SRAF의 CD 14 nm의 경우와 마찬가지의 치수 정밀도가 얻어지는 것이 나타내어진다.
상기 실시 형태에 있어서는, 보조 패턴(SRAF)을 갖는 포토마스크로서, 주패턴의 양단부에 SRAF를 갖는 마스크 형태를 예로 들어 설명을 해 왔지만, 본 발명은 이것에 한정되는 것은 아니고, 주패턴 사이에 SRAF가 있는 마스크 형태, 혹은 주패턴이 고립 패턴인 마스크 형태 등에 있어서도, 본 발명의 포토마스크의 수정 방법을 적용하는 것이 가능하다.
본 발명의 포토마스크의 수정 방법에 의하면, 보조 패턴이 전사 대상면에 해상되어 전사되는 경우의 포토마스크의 수정 방법에 있어서, 보조 패턴 표면을 에칭 혹은 연삭하여 보조 패턴이 전사 대상면에 해상되지 않게 될 때까지 보조 패턴의 막두께를 얇게 함으로써 보조 패턴이 해상되어 전사되는 문제를 해결하고, 또한 보조 패턴으로서의 초점 심도 확대 효과를 유지하면서, 콘트라스트가 높은 전사 화상을 형성하는 포토마스크로 수정할 수 있다. 본 발명의 포토마스크의 수정 방법은 보조 패턴의 선폭 방향으로 마스크 패턴을 수정하는 종래의 포토마스크의 수정 방법과는 달리, 보조 패턴의 두께 방향으로 마스크 패턴을 수정하는 방법으로서, 보조 패턴을 갖는 포토마스크를 확실하고 비교적 용이한 방법으로 수정하는 것이 가능해진다.
E. 수정된 포토마스크
<본 발명의 수정된 포토마스크>
본 발명의 수정된 포토마스크는 상기 포토마스크의 수정 방법에 의해 보조 패턴이 수정된 포토마스크이며, 일례로서, 도 25의 (c)에 도시하는 바와 같이, 에칭 혹은 연삭해서 얇게 한 수정 후의 보조 패턴(13a' 및 13b')을 구비하고, 수정 전의 보조 패턴의 막두께의 막두께차(도면 중의 SRAF 막두께차: T)을 갖는 것이다. 본 발명의 포토마스크는 보조 패턴이 전사 대상면에 해상되어서 전사되는 포토마스크를, 보조 패턴을 막두께의 두께 방향으로 수정함으로써, 보조 패턴이 전사 대상면에 해상되어 전사되지 않도록 하고, 초점 심도 확대 효과를 유지하면서, 콘트라스트가 높은 전사 화상을 형성할 수 있다.
이하, 실시예에 의해 본 발명을 설명한다.
실시예
ArF 엑시머 레이저(파장 193 nm)용 마스크로서 보조 패턴을 갖는 MoSi계의 193 nm에 있어서의 투과율 6%의 하프톤 마스크를 제작하였다. 웨이퍼 상의 타깃 라인 치수는 45 nm, 패턴은 피치 90 nm(마스크 상에서 피치 360 nm)의 라인/스페이스 반복 패턴으로 도 4에 도시하는 패턴을 형성하였다. 웨이퍼 상에 있어서, 주패턴으로서 하프 피치 45 nm의 라인/스페이스가 9개, 단부의 주패턴의 해상성을 향상시키기 위해서, 주패턴의 양단부에 SRAF를 2개(SRAF의 피치 90 nm) 형성하고 있다. 주패턴, SRAF 모두 상기 6% 하프톤으로 구성되고, 마스크 상의 패턴의 막두께는 모두 68 nm로 하였다. 마스크 상에 있어서의 주패턴의 CD 및 SRAF의 CD는 모두 128 nm로 하였다.
상기 하프톤 마스크를 사용해서 ArF 엑시머 레이저 노광을 행하였다. 노광계의 NA는 1.35, 변형 조명으로서, 도 3에 도시하는 Cquad 퓨필 필터를 사용하였다. 그러나, 웨이퍼 상에 전사되어서는 안되는 SRAF 패턴이 웨이퍼 상에 해상되어 버린다고 하는 문제가 발생하였다.
따라서, SRAF를 에칭 혹은 연삭해서 막두께를 얇게 하기 위해, 미리 시뮬레이션에 의해 전사 특성의 어림하기를 행하였다. 또한, 리소그래피 시뮬레이션 현미경 AIMS45-193i(칼자이스사 제조)를 상기 노광계와 동일한 노광 조건에서 사용하고, SRAF의 막두께를 얇게 했을 때의 웨이퍼에의 전사 특성의 검증을 행하였다.
우선, 테스트 시료에 의해 SRAF의 에칭 조건의 확인을 행하였다. 패턴은 마스크 상에서 피치 360 nm(웨이퍼 상에서 90 nm)의 라인/스페이스 패턴으로, 주패턴의 양단부에 SRAF를 2개 설치하였다. 도 27은 EB 마스크 수정 장치 MeRiT65(칼 자이스사 제조)을 사용하고, CF4를 어시스트 가스로 하여 가스 어시스트 에칭을 행하고, 석영 기판 상의 MoSi 박막의 SRAF부(S1과 S2)를 부분적으로 30 nm 에칭한 후의 SEM 평면 사진이다. 도 27의 파선 내의 영역이 에칭에 의해 박막화 처리한 부분이며, 에칭한 영역은 미시적으로는 에칭되어 있지 않은 영역과 SEM 사진상 근소한 차이가 보이지만, 양호한 표면 상태를 나타내고 있다.
도 28은 상기 테스트 시료에서 SRAF부(S1과 S2)를 부분적으로 30 nm 에칭한 후의 리소그래피 시뮬레이션 현미경 AIMS(AIMS45-193i; 칼자이스사 제조)에 의한 검증 화상에서, 웨이퍼 상 광강도 분포의 평면 상태를 나타낸다. 도 28의 파선내가 에칭에 의해 박막화 처리한 SRAF 부분에 상당하고, 이 부분의 광강도가 높아지고, 레지스트 패턴이 해상되지 않는 것이 나타내어져 있다.
AIMS를 사용한 시뮬레이션에 의한 전사 특성의 검증 결과를 도 29 내지 도 34에 도시하였다. 도 29는 SRAF를 얇게 하기 전의 마스크 패턴의 위치에 대응한 광강도를 나타내는 공간상의 도면이며, 1조의 패턴 양단부 편측의 SRAF(S1, S2)와 주패턴의 일부가 나타내어져 있다. 도 29에서는, 횡축에 주패턴의 일부와 SRAF의 1조의 패턴 위치, 종축에 패턴이 없는 투과부의 광강도를 1로 했을 때의 규격화한 광강도를 나타내고 있다. 광강도 프로파일이 복수 표시되어 있는 것은, SRAF의 효과를 보기 위해서 포커스(초점 심도)를 바꾼 경우를 나타내고 있다. 도 29에 도시하는 바와 같이, 광강도가 0.25 내지 0.57의 범위에 있어서, 슬라이스 레벨을 어디에 취하더라도, SRAF 중 S1은 웨이퍼 상에 해상되어 버리고, 또한 슬라이스 레벨을0.4 이상으로 하면, S2도 해상되는 것이 나타내어졌다.
도 30은 도 29의 부분 확대도로서, 포커스를 바꾸었을 때의 반복 단부 주패턴과 보조 패턴(S1)의 SRAF 박막화 처리 전의 패턴 위치와 광강도의 관계를 도시하는 공간상의 도면이다. 라인/스페이스 반복부의 주패턴의 CD가 45 nm가 되는 광강도 임계값을 0.42로 하였다. 도 30에서는, 도 29에서 설명한 바와 같이, SRAF의 효과를 보기 위해서 포커스를 바꾼 경우의 5개의 광강도 프로파일을 나타내고 있다. 도 30이 도시하는 바와 같이, SRAF 중 S1은 포커스에 관계없이 해상되어 버린다.
여기서 도 31은 도 30의 비교 참고로서, SRAF가 없는 경우의 반복 단부의 주패턴과 보조 패턴(S1)의 패턴 위치와 광강도의 관계를 도시하는 공간상의 도면이다. 도 31이 도시하는 바와 같이, SRAF가 없으면 반복 단부의 주패턴은 거의 해상되지 않는다.
이어서, SRAF를 수정에 의해 박막화한 경우의 전사 특성을 시뮬레이션에 의해 어림하였다. 도 32는 시뮬레이션에 의한 전사 특성의 어림 결과로서, SRAF를 30 nm 정도 얇게 한 후의 마스크 패턴의 위치에 대응한 광강도를 나타내는 공간상의 도면이다. SRAF를 박막화한 것에 의해, SRAF에 의한 광량이 확대되고, SRAF의 광강도의 최소값은 커지고, 0.25 내지 0.55의 범위에 있어서 SRAF 패턴은 해상되지 않는 것이 나타내어지고, 광강도의 슬라이스 레벨 선정의 여유도가 확대되었다. 또한, SRAF를 박막화해도, 주패턴의 광학상은 저하하지 않는 것이 확인되었다.
도 33은 도 27, 도 28의 파선부 내의 상황을 도시하고, 도 32의 부분 확대도이며, 반복 단부 주패턴과 보조 패턴(S1)의 SRAF 박막화 처리 후의 패턴 위치와 광강도의 관계를 도시하는 공간상의 도면이다. 도 33에서는, SRAF의 효과를 관찰하기 위해서 포커스를 바꾼 경우의 광강도 프로파일을 나타내고 있다. 도 33이 도시하는 바와 같이, SRAF를 박막화함으로써, SRAF의 S1은 해상되지 않게 된다.
도 34는 SRAF 박막화 처리를 한 후의 반복 단부 주패턴의 초점 심도를 도시하는 도면이다. 상기한 바와 같이 라인/스페이스 반복부의 주패턴의 CD가 45 nm가 되는 광강도 〔a.u.〕 임계값을 0.42로 하였다. 비교를 위해서, SRAF가 없는 경우도 도시되어 있다. SRAF가 없는 경우(no)에는 해상되는 포커스 범위가 좁지만, 박막화한 SRAF를 설치한 경우(thin)에는, 해상되는 포커스 범위가 넓은 것이 나타내어졌다. 또한, SRAF를 해상되지 않도록 하면서, SRAF로서의 효과를 유지하고 있는 것이 나타내어졌다.
상기 에칭 테스트 결과 및 시뮬레이션 결과에 기초하여, 웨이퍼 상에 해상되는 SRAF 패턴을 갖는 상기 하프톤 마스크의 SRAF부를 수정하였다. 상기 테스트 시료와 동일한 에칭 조건에 의해, 1조의 주패턴 단부의 양측에 있는 각 2개의 SRAF 표면 전체면을 에칭하고, 초기 막두께와의 막두께차가 30 nm가 될 때까지 박막화하였다.
상기 SRAF의 막두께를 박막화해서 수정한 하프톤 마스크를 사용하고, 다시, ArF 엑시머 레이저 노광을 행한 바, SRAF는 전혀 해상되지 않고, 주패턴만이 웨이퍼 상에 고해상으로 전사되었다. 또한, 노광 시에 있어서의 초점 심도 확대 효과도 얻어졌다.
10, 20: 하프톤 마스크
11, 21: 투명 기판
12, 22: 주패턴
13, 13a, 13a', 13b, 13b', 23: 보조 패턴(SRAF)
14: 반투명막
15: 가스 노즐
16: 전자 빔
24: 하층의 반투명막(에칭 정지층)
25: 상층의 반투명막
31, 161: 퓨필 필터
32, 162: 조명광
33, 163: 마스크
41: 투명 기판
42: 주패턴
43: 보조 패턴(SRAF)
164: 마스크 패턴
165: 주패턴
166: SRAF
70, 80, 90, 100: 하프톤 마스크
71, 81, 91, 101: 투명 기판
72, 82, 102: 반투명막
73, 83, 93, 103: 차광막
74, 84: 제1 레지스트 패턴
75, 85, 95: 주패턴부
76, 86, 96: 보조 패턴부
77, 87: 제2 레지스트 패턴
78, 88, 98: 보조 패턴
79, 89, 99: 주패턴
92a: 하층의 반투명막(에칭 정지층)
92: 상층의 반투명막
94a: 제1 레지스트 패턴
94b: 제2 레지스트 패턴
94c: 제3 레지스트 패턴
104: 차광막
105: 차광 영역용 레지스트 패턴
110: 종래 제조법의 하프톤 마스크
111: 투명 기판
112: 반투명막
113: 차광막
114: 제1 레지스트 패턴
115: 주패턴부
116: 보조 패턴부
117: 제2 레지스트 패턴
118: 보조 패턴
119: 주패턴
121: 투명 기판 표면의 단차
1: 주패턴
2: 반투명 보조 패턴
301: 투명 기판
302: 반투명막
304: 투명막

Claims (22)

  1. ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되는 포토마스크에서, 상기 포토마스크가 투명 기판의 일 주면 상에 상기 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 상기 주패턴의 근방에 형성되고 상기 전사 대상면에 전사되지 않는 보조 패턴을 설치한 포토마스크로서,
    상기 주패턴과 상기 보조 패턴이 동일 재료로 이루어지는 반투명막으로 구성되어 있고,
    상기 주패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광에 180도의 위상차를 발생시키고, 또한 상기 보조 패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광에 70도 내지 115도 범위의 소정의 위상차를 발생시키는 것을 특징으로 하는 포토마스크.
  2. 제1항에 있어서, 상기 보조 패턴의 막두께가 상기 주패턴의 막두께보다도 얇고, 막두께차가 24 nm 내지 40 nm 범위의 소정의 막두께차인 것을 특징으로 하는 포토마스크.
  3. 제2항에 있어서, 상기 막두께차가 건식 에칭에 의해 형성된 것을 특징으로 하는 포토마스크.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 보조 패턴의 노광광 투과율이 15% 내지 29% 범위의 소정의 투과율인 것을 특징으로 하는 포토마스크.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 동일 재료로 이루어지는 반투명막이 단층의 반투명막 또는 2층의 반투명막으로 이루어지는 것을 특징으로 하는 포토마스크.
  6. 제5항에 있어서, 상기 단층의 반투명막이 몰리브덴실리사이드계 재료의 반투명막이며, 상기 2층의 반투명막이 상기 투명 기판 상에 크롬계 재료의 반투명막, 몰리브덴실리사이드계 재료의 반투명막을 순서대로 설치한 것인 것을 특징으로 하는 포토마스크.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 포토마스크의 외주부에 차광 영역이 형성되어 있는 것을 특징으로 하는 포토마스크.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 주패턴 및 상기 보조 패턴이 모두 라인 패턴이며, 상기 주패턴이 고립 패턴 또는 주기 패턴인 것을 특징으로 하는 포토마스크.
  9. ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되고, 투명 기판의 일 주면 상에 상기 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 상기 주패턴의 근방에 형성되고 상기 전사 대상면에 전사되지 않는 보조 패턴을 설치한 포토마스크의 제조 방법으로서,
    (a) 상기 투명 기판의 일 주면 상에 반투명막, 차광막을 순서대로 형성하고, 상기 반투명막을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광의 위상차가 거의 180도가 되는 막두께로 하는 공정과,
    (b) 상기 차광막 상에 제1 레지스트 패턴을 형성하고, 상기 차광막 및 상기 반투명막을 순서대로 건식 에칭하여 주패턴부와 보조 패턴부를 형성하는 공정과,
    (c) 상기 제1 레지스트 패턴을 박리하고, 다음으로 상기 차광막 상에 제2 레지스트 패턴을 형성하고, 상기 보조 패턴부의 차광막을 에칭하여 제거하는 공정과,
    (d) 상기 제2 레지스트 패턴을 박리하고, 다음으로 상기 투명 기판의 일 주면 상 전체면을 건식 에칭하고, 상기 보조 패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광이 70도 내지 115도 범위의 소정의 위상차가 되는 막두께까지 상기 보조 패턴부의 반투명막을 건식 에칭해서 보조 패턴을 형성하는 공정과,
    (e) 상기 주패턴부의 차광막을 에칭하여 제거해서 주패턴을 형성하고, 상기 주패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광에 180도의 위상차를 발생시키는 공정
    을 포함하는 것을 특징으로 하는 포토마스크의 제조 방법.
  10. 제9항에 있어서, 공정 (b)의 상기 반투명막의 건식 에칭이 상기 반투명막의 막두께의 도중까지의 하프에칭인 것을 특징으로 하는 포토마스크의 제조 방법.
  11. ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되고, 투명 기판의 일 주면 상에 상기 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 상기 주패턴의 근방에 형성되고 상기 전사 대상면에 전사되지 않는 보조 패턴을 설치한 포토마스크의 제조 방법으로서,
    (a) 상기 투명 기판의 일 주면 상에 반투명막, 차광막을 순서대로 형성하고, 상기 반투명막이 2층의 반투명막으로 이루어지고, 상기 투명 기판 측의 하층의 반투명막이 상층의 반투명막의 에칭 정지층을 겸하고, 상기 2층의 반투명막을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광의 위상차가 거의 180도가 되는 막두께로 하는 공정과,
    (b) 상기 차광막 상에 제1 레지스트 패턴을 형성하고, 상기 차광막 및 상기 2층의 반투명막을 순서대로 건식 에칭하여 주패턴부와 보조 패턴부를 형성하는 공정과,
    (c) 상기 제1 레지스트 패턴을 박리하고, 다음으로 상기 차광막 상에 제2 레지스트 패턴을 형성하고, 상기 보조 패턴부의 차광막을 에칭하여 제거하는 공정과,
    (d) 상기 제2 레지스트 패턴을 박리하고, 다음으로 상기 투명 기판의 일 주면 상 전체면을 건식 에칭하고, 상기 보조 패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광이 70도 내지 115도 범위의 소정의 위상차가 되는 막두께까지 상기 보조 패턴부의 반투명막을 건식 에칭해서 보조 패턴을 형성하는 공정과,
    (e) 상기 주패턴부의 차광막을 에칭하여 제거해서 주패턴을 형성하고, 상기 주패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광에 180도의 위상차를 발생시키는 공정을 포함하는 것을 특징으로 하는 포토마스크의 제조 방법.
  12. 제9항 내지 제11항 중 어느 한 항에 있어서, 상기 보조 패턴과 상기 주패턴과의 막두께차가 24 nm 내지 40 nm 범위의 소정의 막두께차인 것을 특징으로 하는 포토마스크의 제조 방법.
  13. 제9항 내지 제12항 중 어느 한 항에 있어서, 상기 보조 패턴을 형성하는 공정 (d) 후에 차광 영역용 레지스트 패턴을 형성하고, 상기 주패턴 상의 차광막을 건식 에칭해서 제거하여 주패턴을 형성함과 함께, 상기 포토마스크의 외주부에 차광 영역을 형성하는 공정을 더 포함하는 것을 특징으로 하는 포토마스크의 제조 방법.
  14. 투명 기판의 일 주면 상에 상기 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 상기 주패턴의 근방에 형성되고 상기 전사 대상면에 전사되지 않는 보조 패턴을 설치한 포토마스크로서,
    상기 주패턴과 상기 보조 패턴이 동일 재료로 이루어지는 반투명막으로 구성되어 있고,
    상기 보조 패턴의 막두께가 상기 주패턴의 막두께보다도 얇고, 막두께차가 24 nm 내지 40 nm 범위의 소정의 막두께차인 것을 특징으로 하는 포토마스크.
  15. ArF 엑시머 레이저를 노광 광원으로 하고, 변형 조명에 의한 투영 노광에 사용되고, 투명 기판의 일 주면 상에 상기 투영 노광에 의해 전사 대상면에 전사되는 주패턴과, 상기 주패턴의 근방에 형성된 보조 패턴을 갖는 포토마스크에서, 상기 투영 노광에 의해 상기 보조 패턴이 상기 전사 대상면에 해상되는 경우의 포토마스크의 수정 방법으로서,
    상기 해상되는 보조 패턴의 표면을 에칭 혹은 연삭하여 상기 보조 패턴이 상기 전사 대상면에 해상되지 않게 될 때까지 상기 해상되는 보조 패턴의 막두께를 얇게 하는 것을 특징으로 하는 포토마스크의 수정 방법.
  16. 제15항에 있어서, 상기 에칭 혹은 연삭해서 얇게 한 수정 후의 상기 보조 패턴의 막두께와, 수정 전의 상기 보조 패턴의 막두께의 막두께차가 1 nm 내지 40 nm의 범위인 것을 특징으로 하는 포토마스크의 수정 방법.
  17. 제15항 또는 제16항에 있어서, 상기 에칭이 전자 빔 마스크 수정기의 전자 빔을 사용한 가스 어시스트 에칭이며, 상기 연삭이 원자간력 현미경의 탐침을 사용한 연삭인 것을 특징으로 하는 포토마스크의 수정 방법.
  18. 제15항 내지 제17항 중 어느 한 항에 있어서, 상기 주패턴과 상기 보조 패턴이 반투명막으로 구성되어 있고, 상기 주패턴의 막두께가 상기 주패턴을 투과하는 광과 상기 투명 기판의 투명 영역을 투과하는 광에서 180도의 위상차를 발생시키는 막두께인 것을 특징으로 하는 포토마스크의 수정 방법.
  19. 제15항 내지 제17항 중 어느 한 항에 있어서, 상기 주패턴이 차광막으로 구성되고, 상기 보조 패턴이 반투명막으로 이루어지는 것을 특징으로 하는 포토마스크의 수정 방법.
  20. 제15항 내지 제17항 중 어느 한 항에 있어서, 상기 주패턴과 상기 보조 패턴이 차광막으로 구성되어 있는 것을 특징으로 하는 포토마스크의 수정 방법.
  21. 제15항 내지 제20항 중 어느 한 항에 있어서, 상기 주패턴 및 상기 보조 패턴이 모두 라인 패턴이며, 상기 주패턴이 고립 패턴 또는 주기 패턴인 것을 특징으로 하는 포토마스크의 수정 방법.
  22. 제15항 내지 제21항 중 어느 한 항에 기재된 포토마스크의 수정 방법에 의해 보조 패턴이 수정되고, 수정 후의 상기 보조 패턴의 막두께가 수정 전의 상기 보조 패턴의 막두께보다도 얇은 것을 특징으로 하는 포토마스크.
KR1020117018799A 2009-02-16 2010-02-04 포토마스크, 포토마스크의 제조 방법 및 수정 방법 KR101420907B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2009-033216 2009-02-16
JP2009033216A JP5104774B2 (ja) 2009-02-16 2009-02-16 フォトマスクおよびその製造方法
JPJP-P-2009-207682 2009-09-09
JP2009207682A JP5104832B2 (ja) 2009-09-09 2009-09-09 フォトマスクの修正方法および修正されたフォトマスク
PCT/JP2010/051635 WO2010092901A1 (ja) 2009-02-16 2010-02-04 フォトマスク、フォトマスクの製造方法及び修正方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137011701A Division KR101396078B1 (ko) 2009-02-16 2010-02-04 포토마스크, 포토마스크의 제조 방법 및 수정 방법

Publications (2)

Publication Number Publication Date
KR20110126617A true KR20110126617A (ko) 2011-11-23
KR101420907B1 KR101420907B1 (ko) 2014-07-17

Family

ID=42561743

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137011701A KR101396078B1 (ko) 2009-02-16 2010-02-04 포토마스크, 포토마스크의 제조 방법 및 수정 방법
KR1020117018799A KR101420907B1 (ko) 2009-02-16 2010-02-04 포토마스크, 포토마스크의 제조 방법 및 수정 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020137011701A KR101396078B1 (ko) 2009-02-16 2010-02-04 포토마스크, 포토마스크의 제조 방법 및 수정 방법

Country Status (6)

Country Link
US (5) US8974987B2 (ko)
EP (2) EP2738791B1 (ko)
KR (2) KR101396078B1 (ko)
CN (1) CN102308256B (ko)
TW (1) TWI422965B (ko)
WO (1) WO2010092901A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101443531B1 (ko) * 2012-06-18 2014-09-23 호야 가부시키가이샤 포토 마스크의 제조 방법, 포토 마스크, 패턴 전사 방법 및 플랫 패널 디스플레이의 제조 방법
KR20160044466A (ko) * 2013-08-21 2016-04-25 다이니폰 인사츠 가부시키가이샤 마스크 블랭크, 네거티브형 레지스트막 부착 마스크 블랭크, 위상 시프트 마스크, 및 그것을 사용하는 패턴 형성체의 제조 방법

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8974987B2 (en) * 2009-02-16 2015-03-10 Dai Nippon Printing Co., Ltd. Photomask and methods for manufacturing and correcting photomask
US8440371B2 (en) * 2011-01-07 2013-05-14 Micron Technology, Inc. Imaging devices, methods of forming same, and methods of forming semiconductor device structures
US8846273B2 (en) * 2012-06-04 2014-09-30 Micron Technology, Inc. Photomasks, methods of forming a photomask, and methods of photolithographically patterning a substrate
KR102195580B1 (ko) 2014-01-14 2020-12-29 삼성디스플레이 주식회사 위상 반전 마스크, 이를 이용한 패턴 형성 방법 및 이를 이용한 표시 패널의 제조 방법
CN103994740B (zh) * 2014-04-22 2016-08-24 京东方科技集团股份有限公司 膜厚测量装置和膜厚测量方法
KR102305092B1 (ko) 2014-07-16 2021-09-24 삼성전자주식회사 포토리소그래피용 마스크와 그 제조 방법
CN104267580A (zh) * 2014-09-05 2015-01-07 京东方科技集团股份有限公司 掩模板、阵列基板及其制备方法、显示装置
CN106200255B (zh) * 2015-05-05 2020-05-26 华邦电子股份有限公司 相位移光罩及其制造方法
JP6381502B2 (ja) * 2015-09-14 2018-08-29 東芝メモリ株式会社 パターンデータ作成方法、パターンデータ作成装置及びマスク
KR102374204B1 (ko) 2016-03-25 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
TWI659262B (zh) * 2017-08-07 2019-05-11 日商Hoya股份有限公司 光罩之修正方法、光罩之製造方法、光罩及顯示裝置之製造方法
CN107643651B (zh) * 2017-10-09 2021-04-16 上海华力微电子有限公司 一种光刻辅助图形的设计方法
WO2019231097A1 (ko) 2018-05-30 2019-12-05 주식회사 엘지화학 임프린팅용 포토마스크 및 이의 제조방법
US11036129B2 (en) * 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Company Ltd. Photomask and method for forming the same
KR20210047953A (ko) * 2018-09-14 2021-04-30 시놉시스, 인크. 웨이퍼 콘트라스트를 개선하는 반사형 euv 마스크 흡수체 조작
CN110707044B (zh) * 2018-09-27 2022-03-29 联华电子股份有限公司 形成半导体装置布局的方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2865727B2 (ja) 1989-09-01 1999-03-08 株式会社日立製作所 レジストパターンの形成方法
JP3188933B2 (ja) 1993-01-12 2001-07-16 日本電信電話株式会社 投影露光方法
JPH08234410A (ja) * 1995-02-28 1996-09-13 Dainippon Printing Co Ltd 位相シフトフォトマスク及び位相シフトフォトマスクドライエッチング方法
US5786113A (en) 1995-06-29 1998-07-28 Nec Corporation Photo-mask used in aligner for exactly transferring main pattern assisted by semi-transparent auxiliary pattern and process of fabrication thereof
JP2877200B2 (ja) 1995-06-29 1999-03-31 日本電気株式会社 露光用フォトマスクおよびその製造方法
JP2923905B2 (ja) * 1996-04-19 1999-07-26 日本電気株式会社 フォトマスク
JP2953406B2 (ja) * 1996-10-17 1999-09-27 日本電気株式会社 フォトマスクおよびその製造方法
US5780208A (en) * 1996-10-17 1998-07-14 Vlsi Technology, Inc. Method and mask design to minimize reflective notching effects
JP3111962B2 (ja) * 1998-01-16 2000-11-27 日本電気株式会社 マスク修正方法
US6150058A (en) * 1998-06-12 2000-11-21 Taiwan Semiconductor Manufacturing Company Method of making attenuating phase-shifting mask using different exposure doses
US6103430A (en) * 1998-12-30 2000-08-15 Micron Technology, Inc. Method for repairing bump and divot defects in a phase shifting mask
JP4163331B2 (ja) * 1999-07-14 2008-10-08 アルバック成膜株式会社 位相シフタ膜の製造方法、位相シフトマスク用ブランクスの製造方法、および、位相シフトマスクの製造方法
DE10021096A1 (de) * 2000-04-20 2001-10-31 Infineon Technologies Ag Maske für optische Projektionssysteme und ein Verfahren zu ihrer Herstellung
KR100618811B1 (ko) 2001-03-20 2006-08-31 삼성전자주식회사 반도체 소자 제조를 위한 위상 반전 마스크 및 그 제조방법
KR100434494B1 (ko) * 2001-10-23 2004-06-05 삼성전자주식회사 위상 반전 마스크의 패턴 교정방법 및 이를 이용하여교정된 위상 반전 마스크
JP3626453B2 (ja) 2001-12-27 2005-03-09 株式会社東芝 フォトマスクの修正方法及び修正装置
JP2003287875A (ja) * 2002-01-24 2003-10-10 Hitachi Ltd マスクの製造方法および半導体集積回路装置の製造方法
JP2003302739A (ja) 2002-04-12 2003-10-24 Elpida Memory Inc フォトマスク
DE10244399B4 (de) * 2002-09-24 2006-08-03 Infineon Technologies Ag Defekt-Reparatur-Verfahren zur Reparatur von Masken-Defekten
KR100523646B1 (ko) * 2003-02-04 2005-10-24 동부아남반도체 주식회사 보조 패턴을 갖는 위상 반전 마스크 및 그 제조 방법
US7147975B2 (en) * 2003-02-17 2006-12-12 Matsushita Electric Industrial Co., Ltd. Photomask
JP2007018005A (ja) 2003-02-17 2007-01-25 Matsushita Electric Ind Co Ltd フォトマスク
JP2005044843A (ja) 2003-07-23 2005-02-17 Sii Nanotechnology Inc ナノインプリントリソグラフィ用の原版の欠陥修正方法
US7014962B2 (en) * 2003-09-13 2006-03-21 Chartered Semiconductor Manufacturing, Ltd Half tone alternating phase shift masks
JP2005157022A (ja) 2003-11-27 2005-06-16 Elpida Memory Inc 補助パターン付きマスクの製造方法
US7312004B2 (en) * 2004-03-18 2007-12-25 Photronics, Inc. Embedded attenuated phase shift mask with tunable transmission
US20060147814A1 (en) * 2005-01-03 2006-07-06 Ted Liang Methods for repairing an alternating phase-shift mask
TW200717176A (en) * 2005-09-21 2007-05-01 Dainippon Printing Co Ltd Photo mask having gradation sequence and method for manufacturing the same
US7579121B2 (en) * 2005-10-07 2009-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction photomasks
JP2007279440A (ja) * 2006-04-07 2007-10-25 Toshiba Corp ハーフトーン型位相シフトマスク及びその製造方法
JP2007305972A (ja) 2006-04-11 2007-11-22 Toshiba Corp 露光条件設定方法及び半導体デバイスの製造方法
JP4858101B2 (ja) 2006-11-14 2012-01-18 大日本印刷株式会社 フォトマスク
DE102007055540A1 (de) * 2006-11-29 2008-06-19 Sii Nano Technology Inc. Verfahren zum Korrigieren von Photomaskendefekten
JP5048455B2 (ja) 2006-11-29 2012-10-17 エスアイアイ・ナノテクノロジー株式会社 フォトマスクの欠陥修正装置及び方法
JP4914272B2 (ja) 2007-04-02 2012-04-11 エルピーダメモリ株式会社 投影露光用のレチクル、該投影露光用のレチクルの製造方法及び該レチクルを用いた半導体装置
KR20080099924A (ko) * 2007-05-11 2008-11-14 주식회사 하이닉스반도체 어시스트 패턴을 갖는 포토마스크 및 그 형성방법
JP2008304737A (ja) 2007-06-08 2008-12-18 Sii Nanotechnology Inc フォトマスクの欠陥修正方法及び異物除去方法
US8003283B2 (en) * 2008-06-18 2011-08-23 Rave Llc System and a method for improved crosshatch nanomachining of small high aspect three dimensional structures by creating alternating superficial surface channels
US8974987B2 (en) * 2009-02-16 2015-03-10 Dai Nippon Printing Co., Ltd. Photomask and methods for manufacturing and correcting photomask

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101443531B1 (ko) * 2012-06-18 2014-09-23 호야 가부시키가이샤 포토 마스크의 제조 방법, 포토 마스크, 패턴 전사 방법 및 플랫 패널 디스플레이의 제조 방법
KR20160044466A (ko) * 2013-08-21 2016-04-25 다이니폰 인사츠 가부시키가이샤 마스크 블랭크, 네거티브형 레지스트막 부착 마스크 블랭크, 위상 시프트 마스크, 및 그것을 사용하는 패턴 형성체의 제조 방법
KR20210054599A (ko) * 2013-08-21 2021-05-13 다이니폰 인사츠 가부시키가이샤 마스크 블랭크, 네거티브형 레지스트막 부착 마스크 블랭크, 위상 시프트 마스크, 및 그것을 사용하는 패턴 형성체의 제조 방법

Also Published As

Publication number Publication date
KR101420907B1 (ko) 2014-07-17
EP2738791A3 (en) 2014-06-18
US10394118B2 (en) 2019-08-27
CN102308256A (zh) 2012-01-04
US10634990B2 (en) 2020-04-28
EP2397900A1 (en) 2011-12-21
EP2397900B1 (en) 2014-10-08
EP2738791A2 (en) 2014-06-04
WO2010092901A1 (ja) 2010-08-19
CN102308256B (zh) 2013-09-25
EP2397900A4 (en) 2013-09-04
US20110294045A1 (en) 2011-12-01
US9519211B2 (en) 2016-12-13
TWI422965B (zh) 2014-01-11
US20150140480A1 (en) 2015-05-21
KR101396078B1 (ko) 2014-05-15
US10048580B2 (en) 2018-08-14
KR20130058081A (ko) 2013-06-03
EP2738791B1 (en) 2015-08-19
US8974987B2 (en) 2015-03-10
US20190332006A1 (en) 2019-10-31
US20180321582A1 (en) 2018-11-08
TW201040661A (en) 2010-11-16
US20170075213A1 (en) 2017-03-16

Similar Documents

Publication Publication Date Title
US10394118B2 (en) Photomask and methods for manufacturing and correcting photomask
US8563227B2 (en) Method and system for exposure of a phase shift mask
US9057961B2 (en) Systems and methods for lithography masks
JP5104832B2 (ja) フォトマスクの修正方法および修正されたフォトマスク
JP5668356B2 (ja) 転写方法
JP5104774B2 (ja) フォトマスクおよびその製造方法
JP5630592B1 (ja) フォトマスクの製造方法
JP2017227804A (ja) マスクパターンの白欠陥修正方法及びフォトマスクの製造方法
JP5239799B2 (ja) ハーフトーン型位相シフトマスク
JP7154572B2 (ja) マスクブランク、転写用マスク、及び半導体デバイスの製造方法
US7425393B2 (en) Phase shift photomask and method for improving printability of a structure on a wafer
JP4539955B2 (ja) 位相シフトマスク及びその製造方法並びに露光方法
JP6035884B2 (ja) フォトマスクの製造方法

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
A107 Divisional application of patent
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 5