KR20110017916A - 성막 방법 및 처리 시스템 - Google Patents

성막 방법 및 처리 시스템 Download PDF

Info

Publication number
KR20110017916A
KR20110017916A KR1020117000753A KR20117000753A KR20110017916A KR 20110017916 A KR20110017916 A KR 20110017916A KR 1020117000753 A KR1020117000753 A KR 1020117000753A KR 20117000753 A KR20117000753 A KR 20117000753A KR 20110017916 A KR20110017916 A KR 20110017916A
Authority
KR
South Korea
Prior art keywords
film
metal
gas
transition metal
forming
Prior art date
Application number
KR1020117000753A
Other languages
English (en)
Other versions
KR101214704B1 (ko
Inventor
겐지 마츠모토
야스시 미즈사와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110017916A publication Critical patent/KR20110017916A/ko
Application granted granted Critical
Publication of KR101214704B1 publication Critical patent/KR101214704B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 발명은 진공 배기 가능하게 이루어진 처리용기 내에서, 표면에 오목부를 갖는 피처리체의 표면에 성막처리를 실시하는 성막방법에 있어서, 전이 금속 함유 원료 가스를 이용하여, 열 처리에 의해서 전이 금속 함유막을 형성하는 전이 금속 함유막 형성 공정과, 원소 주기표의 Ⅷ족의 원소를 포함하는 금속막을 형성하는 금속막 형성 공정을 구비한 것을 특징으로 하는 성막 방법이다.

Description

성막 방법 및 처리 시스템 {FILM FORMING METHOD AND PROCESSING SYSTEM}
본 발명은 반도체 웨이퍼 등의 피처리체의 표면에, 예를 들면, 배리어층으로서 망간(Mn) 함유막과 루테늄(Ru)막을 형성하기 위한 성막 방법 및 처리 시스템에 관한 것이다.
일반적으로, 반도체 디바이스를 제조하기 위해서는 반도체 웨이퍼에 성막 처리나 패턴 에칭 처리 등의 각종 처리가 반복 실행된다. 여기서, 반도체 디바이스의 고집적화 및 고미세화의 요청으로부터, 선폭이나 홀 직경이 점점 미세화되고 있다. 각종 치수의 미세화에 의해, 더욱 전기 저항을 작게 하는 필요로부터, 배선 재료나 트렌치, 홀 등의 오목부내에의 매립 재료로서, 전기 저항이 매우 작고 또한 저렴한 동이 이용되는 경향이 있다(일본 특허공개 제2004-107747호 공보 참조). 이와 같이 배선 재료나 매립 재료로서 동(Cu)이 이용되는 경우에는 그 하층에의 동의 확산 배리어성 등을 고려해서, 일반적으로, 탄탈 금속(Ta)이나 탄탈 질화막(TaN) 등이 배리어층으로서 이용된다.
오목부내를 동으로 매립하기 위해서는, 우선, 플라즈마 스퍼터 장치내에서, 해당 오목부내의 벽면 전체를 포함하는 웨이퍼 표면 전체면에 동막으로 이루어지는 얇은 시드막이 형성되고, 다음에, 웨이퍼 표면 전체에 동 도금 처리를 실시하는 것에 의해서, 오목부내가 완전히 매립되도록 되어 있다. 그 후, 웨이퍼 표면의 여분의 동 박막이 CMP(Chemical Mechanical Polishing) 처리 등에 의해서 연마 처리되어 제거되도록 되어 있다.
이 점에 대해, 도 14a 내지 도 14c를 참조해서 설명한다. 도 14a 내지 도 14c는 반도체 웨이퍼의 오목부의 종래의 매립 공정을 설명하기 위한 도면이다. 반도체 웨이퍼 W에 형성된, 예를 들면, 층간 절연막 등의 절연층(1)의 표면에는, 예를 들면, 듀얼 대머신 패턴(Dual Damascene Pattern)을 형성하는 비어 홀(Via hole)이나 스루 홀(Through hole)이나 홈(트렌치) 등에 대응하는 오목부(2)가 형성되어 있다. 이 오목부(2)의 바닥부에, 예를 들면, 동으로 이루어지는 하부 배선층(3)이 노출 상태로 형성되어 있다.
구체적으로는, 오목부(2)는 가늘고 길게 형성된 단면 오목형상의 홈(트렌치)(2A)과, 홈(2A)의 바닥부의 일부에 형성된 홀(2B)로 이루어진다. 이 홀(2B)이 콘택트 홀이나 스루 홀로 된다. 그리고, 홀(2B)의 바닥부에 배선층(3)이 노출되어 있다. 이것에 의해, 하부 배선층이나 트랜지스터 등의 소자와 전기적인 접속이 실현되도록 되어 있다. 또, 하부 배선층이나 트랜지스터 등의 소자에 대해서는 도시가 생략되어 있다.
절연층(1)은, 예를 들면, 주성분이 SiO2로 이루어지는 막에 의해 형성되어 있다. 오목부(2)는 설계 룰의 미세화에 대응하여, 그 폭 혹은 내경이, 예를 들면, 120㎚ 정도로 매우 작게 되어 있고, 애스펙트비는, 예를 들면, 2∼4 정도로 되어 있다. 또, 확산 방지막 및 에칭 정지막 등에 대해서는 도시가 생략되고, 형상도 단순화되어 나타나 있다.
반도체 웨이퍼 W의 표면에는 오목부(2)내의 내면도 포함시켜, 대략 균일하게, 예를 들면, TaN막 및 Ta막의 적층 구조로 이루어지는 배리어층(4)이 플라즈마 스퍼터 장치에서 미리 형성되어 있다(도 14a 참조). 그리고, 해당 플라즈마 스퍼터 장치에서, 오목부(2)내의 표면을 포함하는 웨이퍼 표면 전체에 걸쳐, 금속막으로서 얇은 동막으로 이루어지는 시드막(6)이 형성된다(도 14b 참조). 해당 시드막(6)이 플라즈마 스퍼터 장치내에서 형성될 때, 반도체 웨이퍼측에 고주파의 바이어스 전력이 인가되어, 동의 금속 이온의 인입이 효율적으로 실행되도록 되어 있다. 또한, 웨이퍼 표면에 동 도금 처리를 실시하는 것에 의해, 오목부(2)내가, 예를 들면, 동막으로 이루어지는 금속막(8)으로 매립되도록 되어 있다(도 14c 참조). 그 후, 웨이퍼 표면에 남은 금속막(8), 시드막(6) 및 배리어층(4)이 상기한 CMP 처리 등을 이용해서 연마 처리되어 제거된다.
그런데, 최근에 상기 배리어층의 신뢰성을 더욱 향상시키기 위해, 각종 개발이 이루어지고 있다. 그 중에서도, Ta막이나 TaN막 대신에, Mn막 등을 이용한 자기형성 배리어층(Self-Forming Barrier Layer)이 주목받고 있다(일본 특허공개 제2005-277390호 공보 및 일본 특허공개 제2007-067107호 공보 참조). Mn막은 스퍼터링에 의해 성막되고, 또한 이 Mn막 자체가 시드막으로 된다. 이 때문에, 그 위쪽에 Cu 도금층을 직접 형성할 수 있다. 도금 후에 어닐을 실시함으로써, 자기 정합(Self-Alignment)적으로 하부 절연막인 SiO2층과 반응하여, 이 SiO2층과 Mn막의 경계 부분에 MnSixOy (x, y : 임의의 정수, 이후 마찬가지임)막 혹은 망간 산화물 MnOx(x: 임의의 정수)막이라는 Mn 배리어막이 형성된다. 이 때문에, 제조 공정수도 삭감할 수 있다.
이 경우, Mn막이 얇을 때에는 막 전체가 MnOx나 MnSixOy로 된다. 또, 망간 산화물은 Mn의 가수에 의해서, MnO, Mn3O4, Mn2O3, MnO2 등의 종류가 존재하지만, 여기서는 이들을 총칭해서 MnOx로 기술한다.
그런데, 상술한 Mn배리어막은 Cu막에 대한 배리어성은 비교적 우수하지만, 상기 Mn막이나 Mn배리어막상에 형성되는 Cu막은 이들 막상에 있어서의 습윤성(Wettability)이 그다지 양호하지는 않다. 그 결과, 상기 Mn막이나 Mn배리어막상에 형성된 Cu막의 밀착성이 좋지 않아, Cu막과 이들 막 사이에 밀착 불량을 발생시킬 우려가 있었다.
본 발명은 이상과 같은 문제점에 착안하여, 이것을 유효하게 해결하도록 창안된 것이다. 본 발명의 목적은, 예를 들면, Cu막에 대한 배리어성 및 밀착성을 높게 유지할 수 있는 층 구조를 형성하는 성막 방법 및 처리 시스템을 제공하는 것에 있다.
본 발명은 진공 배기 가능하게 이루어진 처리용기내에서, 표면에 오목부를 갖는 피처리체의 표면에 성막 처리를 실시하는 성막 방법에 있어서, 전이 금속(Transition metal) 함유 원료 가스를 이용하여, 열 처리에 의해서 전이 금속 함유막을 형성하는 전이 금속 함유막 형성 공정과, 원소 주기표의 Ⅷ족의 원소를 포함하는 금속막을 형성하는 금속막 형성 공정을 구비한 것을 특징으로 하는 성막 방법이다.
본 발명에 의하면, 전이 금속 함유막과 ⅧI족의 원소를 포함하는 금속막의 적층 구조가 얻어져, 예를 들면, 해당 적층 구조상에 형성되는 Cu막에 대해, 우수한 배리어성 및 밀착성을 실현할 수 있다.
바람직하게는, 상기 열 처리는 CVD(Chemical Vapor Deposition)법이다. 이 경우, 예를 들면, 상기 열 처리는 상기 원료 가스와 환원 가스를 이용하는 열 CVD법이다.
또한, 바람직하게는, 상기 금속막 형성 공정에서도 열 처리가 실행된다. 이 경우, 예를 들면, 상기 금속막 형성 공정에 있어서의 상기 열 처리는 CVD법이다.
또한, 바람직하게는 상기 전이 금속 함유막 형성 공정과 상기 금속막 형성 공정은 동일한 처리용기내에서 연속적으로 실행되고, 상기 양 공정의 이행시에는 상기 전이 금속 함유 원료 가스의 공급량을 점차 감소시키면서, 상기 Ⅷ족의 원소를 포함하는 Ⅷ족 원료 가스의 공급량을 점차 증가시키는 것에 의해서, 상기 전이 금속과 상기 Ⅷ족의 원소를 포함하는 혼합층이 형성된다.
예를 들면, 상기 금속막 형성 공정에서는 스퍼터법에 의해서 성막이 실행된다.
또한, 바람직하게는, 상기 금속막 형성 공정 후에, 동막을 퇴적시켜 상기 오목부내를 매립하는 매립 공정이 실행된다. 이 경우, 상기 매립 공정은, 예를 들면, CVD법에 의해서 실행된다. 또는 상기 매립 공정은, 예를 들면, 도금법에 의해서 실행된다. 또는 상기 매립 공정은, 예를 들면, 스퍼터법에 의해서 실행된다.
또한, 바람직하게는, 상기 매립 공정 후에, 상기 피처리체를 어닐하는 어닐 공정(Annealing process)이 실행된다.
또한, 바람직하게는, 상기 박막의 하지막은 SiO2막과 SiOF막과 SiC막과 SiN막과 SiOC막과 SiCOH막과 SiCN막과 포러스 실리카막(Porous Silica Film)과 포러스 메틸 실세스 퀴옥산막(Porous Methylsilsesquioxane Film)과 폴리 아릴렌막(Polyarylene Film)과 SiLK(등록상표)막과 플루오로 카본 막(Fluorocarbon Film)으로 이루어지는 군에서 선택되는 1개 이상의 막으로 이루어진다.
또한, 바람직하게는, 상기 오목부의 하부에는 배선층이 형성되어 있다.
또한, 바람직하게는, 상기 전이 금속 함유 원료 가스는 유기 금속 재료 가스 혹은 금속 착체 재료 가스로 이루어진다.
또한, 바람직하게는, 상기 전이 금속은 망간(Mn)으로 이루어지고, 해당 망간을 포함하는 유기 금속 재료는 CpMn[=Mn(C5H5)2], (MeCp)2Mn[=Mn(CH3C5H4)2], (EtCp)2Mn[=Mn(C2H5C5H4)2], (i-PrCp)2Mn[=Mn(C3H7C5H4)2], MeCpMn(CO)3[=(CH3C5H4)Mn (CO)3], (t-BuCp)2Mn[=Mn(C4H9C5H4)2], CH3Mn(CO)5, Mn(DPM)3[=Mn(C11H19O2)3], Mn(DMPD) (EtCp)[=Mn(C7H11C2H5C5H4)], Mn(acac)2[=Mn(C5H7O2)2], Mn(DPM)2[=Mn(C11H19O2)2], Mn (acac)3[=Mn(C5H7O2)3], Mn(hfac)2[=Mn(C5HF6O2)3], ((CH3)5Cp)2Mn[=Mn((CH3)5C5H4)2]로 이루어지는 군에서 선택되는 1이상의 재료이다.
또한, 바람직하게는, 상기 각 열 처리에 있어서, 플라즈마가 병용된다.
또한, 바람직하게는, 상기 Ⅷ족의 원소는 Fe, Co, Ni, Ru, Rh, Pd, Os, Ir, Pt로 이루어지는 군에서 선택되는 1이상의 원소이다.
또한, 본 발명은 피처리체의 처리 시스템에 있어서, 상기 피처리체의 표면에, 전이 금속을 포함하는 전이 금속 함유 원료 가스를 이용하여, 열 처리에 의해서 전이 금속 함유막을 형성하는 처리 장치와, 상기 피처리체의 표면에 원소 주기표의 Ⅷ족의 원소를 포함하는 금속막을 형성하는 처리 장치와, 상기 피처리체의 표면에 동막을 형성하는 처리 장치와, 상기 각 장치가 연결된 공통 반송실과, 상기 공통 반송실내에 마련되어, 상기 각 처리 장치내에 상기 피처리체를 반송하기 위한 반송 기구와, 상기 어느 하나의 특징을 갖는 성막 방법을 실시하도록 처리 시스템 전체를 제어하는 시스템 제어부를 구비한 것을 특징으로 하는 처리 시스템이다.
또한, 본 발명은 피처리체의 처리 시스템에 있어서, 상기 피처리체의 표면에, 전이 금속 함유 원료 가스를 이용하여 열 처리에 의해서 전이 금속 함유막을 형성하는 성막 처리와, 원소 주기표의 Ⅷ족의 원소를 포함하는 금속막을 형성하는 성막 처리를 하는 처리 장치와, 상기 피처리체의 표면에 동막을 형성하는 처리 장치와, 상기 각 처리 장치가 연결된 공통 반송실과, 상기 공통 반송실내에 마련되어, 상기 각 처리 장치내에 상기 피처리체를 반송하기 위한 반송 기구와, 상기 어느 하나의 특징을 갖는 성막 방법을 실시하도록 처리 시스템 전체를 제어하는 시스템 제어부를 구비한 것을 특징으로 하는 처리 시스템이다.
또한, 본 발명은 상기 어느 하나의 처리 시스템을 상기 어느 하나의 특징을 갖는 성막 방법을 실시하도록 제어하는 컴퓨터 판독 가능한 프로그램을 기억한 것을 특징으로 하는 기억 매체이다.
또한, 본 발명은 상기 어느 하나의 특징을 갖는 성막 방법에 의해서 형성된 막 구조를 갖는 것을 특징으로 하는 반도체 장치이다.
또한, 본 발명은 상기 어느 하나의 특징을 갖는 성막 방법에 의해서 형성된 막 구조를 갖는 것을 특징으로 하는 전자 기기이다.
도 1은 본 발명의 처리 시스템의 제 1 실시형태를 나타내는 개략 구성도이다.
도 2는 본 발명의 처리 시스템의 제 2 실시형태를 나타내는 개략 구성도이다.
도 3은 제 2 처리 장치의 일예를 나타내는 구성도이다.
도 4는 제 3 처리 장치의 일예를 나타내는 구성도이다.
도 5는 제 5 처리 장치의 일예를 나타내는 구성도이다.
도 6a 내지 도 6d는 반도체 웨이퍼의 오목부를 중심으로 한 박막의 퇴적 상황의 일예를 설명하기 위한 도면이다.
도 7은 본 발명의 성막 방법의 기본적인 흐름도이다.
도 8은 매립 공정을 실시하기 위한 각종 형태를 설명하기 위한 도면이다.
도 9a 내지 도 9c는 금속막 형성 공정을 실시할 때의 각 가스의 공급 상태를 나타내는 타이밍도이다.
도 10은 Mn과 Ru를 포함하는 혼합막을 형성할 때의 각 가스의 공급 상태를 나타내는 타이밍도이다.
도 11은 시드막을 형성했을 때의 오목부의 최종적인 매립 상태를 나타내는 단면도이다.
도 12는 반도체 웨이퍼의 오목부의 바닥부에 있어서 매립 금속과 배선층이 직접 접속된 상태를 나타내는 단면도이다.
도 13은 Mn과 Ru를 포함하는 혼합막을 형성했을 때의 오목부의 최종적인 매립 상태를 나타내는 단면도이다.
도 14a 내지 도 14c는 반도체 웨이퍼의 오목부의 종래의 매립 공정을 설명하기 위한 도면이다.
이하, 본 발명에 관한 성막 방법과 처리 시스템의 실시형태를 첨부 도면에 의거하여 상세하게 기술한다.
(처리 시스템)
우선, 본 발명의 성막 방법을 실시하기 위한 처리 시스템에 대해 설명한다. 도 1은 본 발명의 처리 시스템의 제 1 실시형태를 나타내는 개략 구성도이고, 도 2는 본 발명의 처리 시스템의 제 2 실시형태를 나타내는 개략 구성도이다.
우선, 제 1 실시형태에 대해 설명한다. 도 1에 나타내는 바와 같이이, 처리 시스템(10)은 복수, 예를 들면, 4개의 처리 장치(12A, 12B, 12C, 12D)와, 대략 육각형상의 공통 반송실(14)과, 로드 록 기능을 갖는 제 1 및 제 2 로드 록 실(16A, 16B)과, 가늘고 긴 도입측 반송실(18)을 주로 갖고 있다.
여기서는 4개의 처리 장치(12A∼12D) 중, 1개째의 처리 장치, 예를 들면, 처리 장치(12A)가 피처리체인 반도체 웨이퍼에 대해 전 처리(pre-treatment)를 실행하는 제 1 처리 장치(12A)로서 구성되고, 2개째의 처리 장치, 예를 들면, 처리 장치(12B)가 반도체 웨이퍼 W에 대해 전이 금속 함유막을 형성하는 제 2 처리 장치(12B)로서 구성되고, 3개째의 처리 장치, 예를 들면, 처리 장치(12C)가 반도체 웨이퍼 W에 대해 원소 주기표의 Ⅷ족의 원소를 포함하는 금속막을 형성하는 제 3 처리 장치(12C)로서 구성되고, 4개째의 처리 장치, 예를 들면, 처리 장치(12D)가 반도체 웨이퍼 W에 대해 동막을 퇴적시키는 제 4 처리장치(12D)로서 구성되어 있다.
제 1 처리 장치(12A)로서는 일반적인 청정 처리 장치가 이용되고, 제 4 처리 장치(12D)로서는 열 CVD 등의 열성막 처리 장치가 이용된다. 하지만, 제 1 및 제 4 처리 장치(12A, 12D)는 반드시 마련되어야 하는 것은 아니다. 즉, 처리 시스템(10) 이외에 마련된 별도의 처리 장치에 있어서, 제 1 및 제 4 처리 장치에 대응하는 각 처리가 실행되어도 좋다.
도 1의 예에서는 대략 육각형상의 공통 반송실(14)의 4변에 각 처리 장치(12A∼12D)가 접합되어 있고, 타측의 2개의 변에 제 1 및 제 2 로드 록 실(16A, 16B)이 각각 접합되어 있다. 그리고, 제 1 및 제 2 로드 록 실(16A, 16B)에 도입측 반송실(18)이 공통으로 접속되어 있다.
공통 반송실(14)과 각 처리 장치(12A∼12D)의 사이, 및 공통 반송실(14)과 제 1 및 제 2 로드 록 실(16A, 16B)의 각각의 사이는 각각 기밀하게 개폐 가능하게 이루어진 게이트밸브 G를 거쳐서 접합되어, 클러스터 툴(Cluster Tool)화되어 있고, 필요에 따라 공통 반송실(14)내와 연통 가능하게 이루어져 있다. 여기서, 공통 반송실(14)내는 진공화되어 있다. 또한, 제 1 및 제 2 로드 록 실(16A, 16B)의 각각과 도입측 반송실(18) 사이에도, 각각 기밀하게 개폐 가능하게 이루어진 게이트밸브 G가 개재되어 있다. 제 1 및 제 2 로드 록 실(16A, 16B)은 진공 배기 및 대기압 복귀가 웨이퍼의 반출 반입에 따라서 반복되도록 되어 있다.
그리고, 공통 반송실(14)내에 있어서는 2개의 로드 록 실(16A, 16B) 및 4개의 처리 장치(12A∼12D)로 액세스할 수 있는 위치에, 굴신 및 선회 가능하게 이루어진 다관절암(Multi-Joint Arm)으로 이루어지는 반송 기구(20)가 마련되어 있다. 이것은 서로 반대방향으로 독립적으로 굴신(Contractible and Extendible)할 수 있는 2개의 픽(20A, 20B)을 갖고 있으며, 한번에 2개의 웨이퍼를 취급할 수 있도록 되어 있다. 또한, 반송 기구(20)로서, 1개만의 픽을 갖고 있는 것도 이용할 수 있다.
도입측 반송실(18)은 가로로 긴 상자체에 의해 형성되어 있다. 이 가로로 긴 도입측 반송실(18)의 일측(긴쪽방향 측방측)에는 피처리체인 반도체 웨이퍼를 도입하기 위한 1개 내지 복수(도시 예에서는 3개)의 반입구가 마련되어 있다. 각 반입구에는 개폐 가능하게 이루어진 개폐 도어(22)가 마련된다. 그리고, 각 반입구에 대응하도록, 도입 포트(24)가 각각 마련되어 있고, 여기에, 각각 1개씩 카세트 용기(26)를 탑재할 수 있도록 되어 있다. 각 카세트 용기(26)는 복수개, 예를 들면, 25개의 웨이퍼 W를 등 피치로 다단에 탑재한 상태에서 수용할 수 있도록 되어 있다. 카세트 용기(26)내는, 예를 들면, 밀폐 상태로 이루어져, 해당 내부에는 N2 가스 등의 불활성 가스로 채워진다.
도입측 반송실(18)내에는 웨이퍼 W를 그 긴쪽방향을 따라 반송하기 위한 도입측 반송 기구(28)가 마련되어 있다. 도입측 반송 기구(28)는 굴신 및 선회 가능하게 이루어진 2개의 픽(28A, 28B)을 갖고 있으며, 한번에 2개의 웨이퍼 W를 취급할 수 있도록 되어 있다. 이 도입측 반송 기구(28)는 도입측 반송실(18)내에서, 그 긴쪽방향을 따라 연장하도록 마련된 안내 레일(30)상에 슬라이드 이동 가능하게 지지되어 있다.
또한, 도입측 반송실(18)의 한쪽의 단부에는 웨이퍼의 위치맞춤을 실행하는 오리엔터(32)가 마련되어 있다. 오리엔터(32)는 구동 모터에 의해서 회전되는 회전대(32A)를 갖고 있다. 회전대(32A)는 그 위에 웨이퍼 W가 탑재된 상태에서 회전하도록 되어 있다. 회전대(32A)의 외주에는 웨이퍼 W의 둘레가장자리를 검출하기 위한 광학 센서(32B)가 마련되어 있다. 이에 따라, 웨이퍼 W의 위치 결정 절결(Position Determination Cutout), 예를 들면, 노치(Notch)나 오리엔테에션 플랫(Orientation Flat)의 위치 방향이나 웨이퍼 W의 중심 위치의 어긋남 정도를 검출할 수 있도록 되어 있다.
이 처리 시스템(10)은 해당 시스템 전체의 동작을 제어하기 위해, 예를 들면, 컴퓨터 등으로 이루어지는 시스템 제어부(34)를 갖고 있다. 그리고, 이 처리 시스템 전체의 동작 제어에 필요한 프로그램이, 플렉시블 디스크나 CD(Compact Disc)나 하드 디스크나 플래시 메모리 등의 기억 매체(36)에 기억되어 있다. 그리고, 해당 프로그램에 의거하는 시스템 제어부(34)로부터의 명령에 의해, 각 가스의 공급의 개시 또는 정지의 제어, 유량의 제어, 프로세스 온도(웨이퍼 온도)나 프로세스 압력(처리용기내의 압력)의 제어, 웨이퍼의 반송 작업 등이 실행된다.
이상과 같이 구성된 처리 시스템(10)에 있어서의 개략적인 동작에 대해 설명한다. 우선, 도입 포트(24)에 설치된 카세트 용기(26)로부터, 미처리의 반도체 웨이퍼 W가 도입측 반송 기구(28)에 의해서 도입측 반송실(18)내에 받아들여진다. 받아들여진 웨이퍼 W는 도입측 반송실(18)의 일단에 마련된 오리엔터(32)에 반송되어, 여기서 위치 결정이 이루어진다. 상기 웨이퍼 W는, 예를 들면, 실리콘 기판으로 이루어지고, 그 표면에는 오목부(2)(도 14 참조)가 미리 형성되어 있는 것으로 한다.
위치 결정이 이루어진 웨이퍼 W는 도입측 반송 기구(18)에 의해 재차 반송되어, 제 1 및 제 2 로드 록 실(16A, 16B) 중의 어느 한쪽의 로드 록 실내에 반입된다. 해당 로드 록 실내가 진공 배기된 후, 미리 진공 배기된 공통 반송실(14)내의 반송 기구(20)에 의해서, 상기 로드 록 실내의 웨이퍼 W가 해당 공통 반송실(14)내에 받아들여진다.
공통 반송실(14)내에 받아들여진 미처리의 웨이퍼는 우선, 제 1 처리 장치(12A)내에 반입된다. 거기서, 웨이퍼 W에 대해 전처리가 실행된다. 이 전처리로서는 일반적인 디가스(degas) 처리나 웨이퍼 표면의 오목부(2)내의 세정 처리가 실행된다. 세정 처리로서는 H2 플라즈마 처리, Ar 플라즈마 처리, 유기산을 이용한 드라이 클리닝 처리, 혹은 Hot-Wire 원자형상 수소를 이용한 클리닝 처리 등이 실행된다.
상기한 전 처리가 완료된 웨이퍼 W는 다음에, 제 2 처리 장치(12B)내에 반입된다. 거기서, 웨이퍼 W의 표면에 전이 금속 함유막을 형성하는 전이 금속 함유막 형성 공정이 실행된다. 이 전이 금속 함유막 형성 공정에서는, 후술하는 바와 같이, 전이 금속을 포함하는 전이 금속 함유 원료 가스를 이용하여 열 CVD 등의 열 처리를 실행하는 것에 의해, 성막이 실행된다. 여기서, 전이 금속으로서는 Mn이 이용되고, 전이 금속 함유막(Mn 함유막)으로서는 MnOx막이 형성된다.
전이 금속 함유막 형성 공정이 완료된 웨이퍼 W는 다음에, 제 3 처리 장치(12C)내에 반입된다. 거기서, 웨이퍼 W의 표면에 원소 주기표의 Ⅷ족의 원소를 포함하는 금속막을 형성하는 금속막 형성 공정이 실행된다. 여기서는 후술하는 바와 같이, 예를 들면, Ru(루테늄)가 이용되어, 금속막으로서 Ru막이 형성된다. 이상과 같이 해서, MnOx막과 Ru막의 층 구조에서, Cu막에 대한 배리어층이 형성되게 된다.
금속막 형성 공정이 완료된 웨이퍼 W는 다음에, 제 4 처리 장치(12 D)내에 반입된다. 거에서, 웨이퍼 W의 표면에 동막을 퇴적해서 오목부(2)내를 매립하는 매립 공정이 실행된다. 이 매립 공정이 완료하면, 처리 시스템(10)에서의 처리는 완료하게 된다.
처리필의 웨이퍼 W는 어느 한쪽의 로드 록 실(16A 또는 16B)과 도입측 반송실(18)을 경유해서, 도입 포트(24)의 처리필 웨이퍼용의 카세트 용기(26)내에 수용되게 된다.
또한, 공통 반송실(14)내는 Ar이나 He 등의 희가스나 드라이 N2 등의 불활성 가스의 분위기에서 감압 상태로 되어 있다.
상기한 처리 시스템의 제 1 실시형태의 경우에는 제 2 처리 장치(12B)와 제 3 처리 장치(12C)가 따로따로 마련되어, 전이 금속 함유막 형성 공정과 금속막 형성 공정을 각각 별도의 처리 장치에서 실행하도록 하고 있지만, 이들 양 공정을 동일한 처리 장치내에서 실행하도록 해도 좋다. 그러한 처리 시스템이 제 2 실시형태로서 도 2에 나타나 있다. 또한, 도 2에 있어서는 도 1에 나타낸 구성 부분과 동일 구성 부분에 대해서는 동일 참조 부호를 붙이고, 그 설명을 생략한다.
도 2에 나타내는 실시형태에서는 도 1의 제 2 처리 장치(12B) 대신에, 5개째의 처리 장치로서의 제 5 처리 장치(12E)가 마련되어 있고, 또한, 제 3 처리 장치(12C)(도 1 참조)는 설치되어 있지 않다. 제 5 처리 장치(12E)에 있어서, 후술하는 바와 같이, 전이 금속 함유막인, 예를 들면, MnOx막과 금속막인, 예를 들면, Ru막이 연속적으로 성막된다. 이 경우, 처리 장치의 설치 대수가 1대 감소한 만큼, 설비 비용을 삭감할 수 있다. 또한, 제 3 처리 장치(12C)가 있던 위치에, 스루풋 향상을 위해, 제 4 처리 장치(12D)를 1대 더 마련할 수도 있다.
(제 2 처리 장치(12B)의 설명)
상술한 바와 같이, 제 1 처리 장치(12A)는 일반적인 세정 처리 장치이므로, 그 설명은 생략하고, 제 2 처리 장치(12B)에 대해 설명한다. 도 3은 제 2 처리 장치의 일예를 나타내는 구성도이다. 이 제 2 처리 장치(12B)는 전이 금속 함유 원료 가스를 이용하여, 열 처리에 의해, 웨이퍼 표면에 전이 금속 함유막을 형성하는 장치이다. 여기서는 전이 금속 함유막으로서 MnOx(x: 임의의 정수)를 형성하는 경우를, 예로 들어, 설명한다.
이 제 2 처리 장치(12B)는, 예를 들면, 내부가 대략 원통형상인 알루미늄제의 처리용기(132)를 갖고 있다. 그리고, 처리용기(123)의 천장부에, 필요한 처리 가스, 예를 들면, 성막 가스 등을 도입하기 위한 가스 도입 수단인 샤워헤드부(134)가 마련되어 있다. 샤워헤드부(134)의 하면의 가스 분사면에 마련된 복수의 가스 분사 구멍(136)으로부터 처리공간 S를 향해 처리 가스가 분사되도록 되어 있다.
샤워헤드부(134)내에는 중공형상의 가스 확산실(138)이 형성되어 있고, 여기에 도입된 처리 가스가, 평면방향으로 확산된 후에, 거기에 연통된 가스 분사 구멍(136)으로부터 내뿜도록 되어 있다. 샤워헤드부(134)의 전체는, 예를 들면, 니켈이나 하스테로이 (Hastelloy) (등록상표) 등의 니켈 합금, 알루미늄, 혹은 알루미늄 합금에 의해 형성되어 있다. 샤워헤드부(134)와 처리용기(132)의 상단 개구부의 접합부에는, 예를 들면, O링 등으로 이루어지는 시일 부재(140)가 개재되어 있다. 이것에 의해, 처리용기(132)내의 기밀성이 유지되도록 되어 있다.
또한, 처리용기(132)의 측벽에는 처리용기(132)내에 대해 피처리체로서의 반도체 웨이퍼 W를 반입 반출하기 위한 반출입구(142)가 마련되어 있다. 이 반출입구(142)에는 기밀하게 개폐 가능하게 이루어진 게이트밸브 G가 마련되어 있다.
처리용기(132)의 바닥부(144)에는 배기 공간(146)이 형성되어 있다. 구체적으로는, 용기 바닥부(144)의 중앙부에 큰 개구(148)가 형성되어 있고, 이 개구(148)에, 그 아래쪽으로 연장하는 바닥을 갖는 원통체형상의 원통 구획벽(150)이 연결되고, 그 내부에 상기 배기 공간(146)이 형성되어 있다. 그리고, 배기 공간(146)을 구획하는 원통 구획벽(150)의 바닥부(152)에, 이것으로부터 기립하도록 원통체형상의 지주(154)가 마련되어 있고, 이 지주(154)의 상단부에, 반도체 웨이퍼 W를 탑재하는 탑재대(156)가 고정되어 있다.
탑재대(156)는, 예를 들면, 세라믹재나 석영 유리로 이루어진다. 이 탑재대(156)내에는 가열 수단으로서, 통전에 의해 열을 발생하는, 예를 들면, 카본 와이어 히터 등으로 이루어지는 저항 가열 히터(158)가 수용되어 있다. 이것에 의해, 탑재대(156)의 상면에 탑재된 반도체 웨이퍼 W를 가열할 수 있도록 되어 있다.
탑재대(156)에는 이 상하방향으로 관통하도록, 복수, 예를 들면, 3개의 핀 삽입통과구멍(160)이 형성되어 있다(도 3에 있어서는 2개만 나타냄). 상기 각 핀 삽입통과구멍(160)내에, 상하 이동 가능하게 헐겁게 끼워진 상태에서 삽입 통과된 밀어 올림 핀(162)이 배치되어 있다. 밀어 올림 핀(162)의 하단에는 원형 링형상으로 형성된, 예를 들면, 알루미나와 같은 세라믹스제의 밀어 올림 링(164)이 배치되어 있다. 이 밀어 올림 링(164)에 의해서, 각 밀어 올림 핀(162)의 하단이 지지되어 있다. 밀어 올림 링(164)으로부터 연장하는 암부(166)가, 용기 바닥부(144)를 관통해서 마련된 출몰 로드(168)에 연결되어 있고, 출몰 로드(168)는 액추에이터(170)에 의해서 승강 가능하게 이루어져 있다. 이에 따라, 각 밀어 올림 핀(162)이, 웨이퍼 W의 수수시에, 각 핀 삽입통과구멍(160)의 상단으로부터 위쪽으로 출몰하도록 되어 있다.
또, 액추에이터(170)의 출몰 로드(168)의 용기바닥부(144)의 관통부에는 신축 가능한 벨로우즈(172)가 개재되어 있어, 출몰 로드(168)는 처리용기(132)내의 기밀성을 유지하면서 승강할 수 있도록 되어 있다.
배기 공간(146)의 입구측의 개구(148)는 탑재대(156)의 직경보다도 작게 설정되어 있고, 탑재대(156)의 둘레가장자리부의 외측을 유하하는 가스는 탑재대(156)의 아래쪽으로 돌아 들어가 나서 개구(148)에 유입하도록 되어 있다. 또한, 원통 구획벽(150)의 하부 측벽에는 배기 공간(146)을 향하도록 배기구(174)가 형성되어 있다. 이 배기구(174)에는 진공 배기계(176)가 접속되어 있다.
진공 배기계(176)는 배기구(174)에 접속된 배기 통로(178)를 갖고 있고, 이 배기 통로(178)에는 압력 조정 밸브(180)나 진공 펌프(182)나 제해(除害) 장치(도시하지 않음) 등이 순차적으로 개재되어 있다. 이에 따라, 처리용기(132)내 및 배기 공간(146)의 압력을 제어하면서 진공화되고 배기할 수 있도록 되어 있다.
샤워헤드부(134)에는 전이 금속 함유 원료 가스를 공급하는 원료 가스 공급계(88)가 접속되어 있다. 구체적으로는 전이 금속 함유 원료 가스를 공급하는 원료 가스 공급계(88)는 가스 확산실(138)의 가스 입구(186)에 접속된 원료 가스 유로(94)를 갖고 있다.
이 원료 가스 유로(94)는 도중에 개폐 밸브(96) 및 유량 제어기 (Mass Flow Controller) (98)가 순차적으로 개재되어, 전이 금속 함유 원료를 수용하는 제 1 원료 탱크(100)에 접속되어 있다.
제 1 원료 탱크(100)내에는 그 선단부가 제 1 원료 탱크(100)의 바닥부 근방에 위치된 버블링 가스관(114)이 삽입되어 있다. 버블링 가스관(114)에는 유량 제어기 (Mass Flow Controller) (108) 및 개폐 밸브(106)가 순차적으로 개재되어 있다. 이에 따라, 버블링 가스를 그 유량을 제어하면서 제 1 원료 탱크(100)내에 도입하여, 원료(110)를 바람직하게 가스화할 수 있도록 되어 있다. 그리고, 발생한 원료 가스가 버블링 가스에 수반되어 공급되도록 되어 있다.
버블링 가스로서는 여기서는 환원 가스인 H2 가스가 이용되고 있다. 또한, 제 1 원료 탱크(100)에는 기화를 촉진시키기 위해, 원료(110)를 가열하는 탱크 가열부(112)가 마련되어 있다. 여기서는 원료(110)로서, 예를 들면, 망간을 포함하는 액체 원료인 (EtCp)2Mn(전구체(Precursor))을 이용할 수 있다.
버블링용의 환원 불활성 가스로서는 H2 가스 대신에, N2나, He, Ne, Ar 등의 희가스로 이루어지는 불활성 가스를 이용할 수 있다. 그리고, 원료 가스 유로(94), 이것에 개재되는 개폐 밸브(96), 유량 제어기(98)에, 원료 가스가 재응축되는 것을 방지하기 위해, 테이프 히터, 알루미늄 블록 히터, 맨틀 히터 혹은 실리콘 러버 히터 등(도시하지 않음)이 감겨, 이들을 가열할 수 있도록 되어 있다.
또한, 도시되어 있지 않지만, 퍼지용의 가스 공급 수단이 샤워헤드부(134)에 접속되어 있어, 필요에 따라 퍼지 가스를 공급할 수 있도록 되어 있다. 퍼지용 가스로서는 N2 가스, Ar 가스, He 가스, Ne 가스 등의 불활성 가스나, H2 등의 환원성 가스를 이용할 수 있다.
그리고, 이러한 장치 전체의 동작을 제어하기 위해, 예를 들면, 컴퓨터 등으로 이루어지는 제어부(206)가 마련되어 있다. 이에 따라, 각 가스의 공급의 개시와 정지의 제어, 공급량의 제어, 처리용기(40)내의 압력 제어, 웨이퍼 W의 온도 제어 등이 실행되도록 되어 있다. 제어부(206)는 상기한 제어를 실행하기 위한 컴퓨터 프로그램을 기억하기 위한 기억 매체(208)를 갖고 있다. 기억 매체(208)로서는, 예를 들면, 플렉시블 디스크, 플래시 메모리, 하드 디스크, CD(Compact Disc) 등을 이용할 수 있다. 또한, 제어부(206)는 시스템 제어부(34)(도 1 참조)의 제어하에서 동작하도록 되어 있다.
다음에, 이상과 같이 구성된 제 2 처리 장치(12B)의 동작에 대해 설명한다. 우선, 반도체 웨이퍼 W는 반송 기구(20)(도 1 참조)에 유지되어, 개방 상태로 된 게이트밸브 G와 반출입구(142)를 거쳐서, 처리용기(132)내에 반입된다. 이 웨이퍼 W는 상승된 밀어 올림 핀(160)에 수수된 후, 이 밀어 올림 핀(160)이 강하하는 것에 의해서, 탑재대(156)의 상면에 탑재되어 지지된다.
다음에, 전이 금속 함유 원료 가스 공급계(88)가 동작되고, 샤워헤드부(134)에 성막 가스가 그 유량이 제어되면서 공급되어, 해당 성막 가스가 가스 분사 구멍(136)으로부터 분사되어, 처리공간 S에 도입된다.
그리고, 진공 배기계(176)에 마련된 진공 펌프(182)의 구동을 계속하는 것에 의해, 처리용기(132)내나 배기 공간(146)내의 분위기가 진공화된다. 그리고, 압력 조정 밸브(180)의 밸브 개방도가 조정되어 처리공간 S의 분위기가 소정의 프로세스 압력으로 유지된다. 이 때, 웨이퍼 W는 탑재대(156)내에 마련된 저항 가열 히터(158)에 의해 가열되어, 소정의 프로세스 온도로 유지된다. 이에 따라, 반도체 웨이퍼 W의 표면에, 원하는 전이 금속 함유막, 즉 여기서는 MnOx막이 형성되게 된다.
(제 3 처리 장치(12C)의 설명)
다음에, 제 3 처리 장치(12C)에 대해 설명한다. 상술한 바와 같이, 제 4 처리 장치(12D)는 일반적인 열성막 처리 장치이므로, 그 설명은 생략한다. 도 4는 제 3 처리 장치의 일예를 나타내는 구성도이다. 이 제 3 처리 장치(12C)는 웨이퍼 표면에 Ⅷ족의 원소를 포함하는 금속막을 형성하는 장치이다. 여기서는 금속막으로서 Ru막을 형성하는 경우를 예로 들어 설명한다.
이 제 3 처리 장치(12C)는 대략 제 2 처리 장치(12B)와 동일한 구성이지만, 원료 가스 공급계가 다르다.
즉, 샤워헤드부(134)에는 금속막의 원료 가스를 공급하는 원료 가스 공급계(184)가 접속되어 있다. 구체적으로는 금속막의 원료 가스 공급계(184)는 가스 확산실(138)의 가스 입구(186)에 접속된 원료 가스 유로(188)를 갖고 있다.
원료 가스 유로(188)의 타단은 여기서는 고체형상의 원료(190)를 수용하는 제 2 원료 탱크(192)에 접속되어 있다. 원료 가스 유로(188)의 도중에는 개폐 밸브(194) 및 원료 가스 유로(188)내의 압력을 측정하는 압력계(196)가 마련되어 있다. 압력계(196)로서는, 예를 들면, 캐패시턴스 마노미터를 이용할 수 있다. 원료 가스 유로(188)는 여기서 이용되는 원료(190)의 증기압이 매우 낮아 기화하기 어렵기 때문에, 기화를 촉진시키기 위해, 비교적 큰 구경으로 되어 있다. 또한, 원료 가스 유로(188)는 유로길이가 가능한 한 짧게 설정되어, 제 2 원료 탱크(192)내의 압력이 처리용기(132)내의 압력에 가까워지도록 설정되어 있다.
제 2 원료 탱크(192)내에는 그 선단부가 제 2 원료 탱크(192)의 바닥부 근방에 위치된 버블링 가스관(198)이 삽입되어 있다. 버블링 가스관(198)에는 유량 제어기 (Mass Flow Controller) (200) 및 개폐 밸브(202)가 순차적으로 개재되어 있다. 이에 따라, 버블링 가스를 그 유량을 제어하면서 제 2 원료 탱크(192)내에 도입하여, 원료(190)를 바람직하게 가스화할 수 있도록 되어 있다. 그리고, 발생한 원료 가스가 버블링 가스에 수반되어 공급되도록 되어 있다.
버블링 가스로서는 여기서는 불활성 가스인 N2 가스가 이용되고 있지만, 이 대신에, CO(일산화산소)나, He, Ar 등의 희가스가 이용되어도 좋다. 또한, 제 2 원료 탱크(192)에는 기화를 촉진시키기 위해, 원료(190)를 가열하는 탱크 가열부(204)가 마련되어 있다. 여기서는 원료(190)로서, 원소 주기표의 Ⅷ족의 원소의 일예인 Ru를 포함하는 입상 고체(Particulate Solid)의 루테늄 카르보닐(Ru3(CO)12)을 이용할 수 있다.
그리고, 원료 가스 유로(188), 이것에 개재되는 개폐 밸브(194)에, 원료 가스가 재응축되는 것을 방지하기 위해, 테이프 히터, 알루미늄 블록 히터, 맨틀 히터 혹은 실리콘 러버 히터(Silicon Lever Heater) 등(도시하지 않음)이 감겨, 이들을 가열할 수 있도록 되어 있다.
또한, 도시되어 있지 않지만, 퍼지용의 불활성 가스 공급 수단이 샤워 헤드부(134)에 접속되어 있어, 필요에 따라 퍼지 가스를 공급할 수 있도록 되어 있다. 퍼지용 가스로서는 N2 가스, Ar 가스, He 가스, Ne 가스 등의 불활성 가스를 이용할 수 있다.
그리고, 이러한 장치 전체의 동작을 제어하기 위해, 예를 들면, 컴퓨터 등으로 이루어지는 제어부(206)가 마련되어 있다. 이에 따라, 각 가스의 공급의 개시와 정지의 제어, 공급량의 제어, 처리용기(132)내의 압력 제어, 웨이퍼 W의 온도 제어 등이 실행되도록 되어 있다. 제어부(206)는 상기한 제어를 실행하기 위한 컴퓨터 프로그램을 기억하기 위한 기억 매체(208)를 갖고 있다.
여기서, 원료 가스의 유량을 제어하기 위해, 버블링 가스의 유량과, 원료 가스의 유량과, 그 때의 압력계(196)의 측정값의 관계가 미리 기준 데이터로서 기억 매체(208)에 기억되어 있다. 이에 따라, 성막시에는 압력계(196)의 측정값에 의거하여 버블링 가스의 유량이 제어되어, 원료 가스의 공급량이 제어되도록 되어 있다. 기억 매체(208)로서는, 예를 들면, 플렉시블 디스크, 플래시 메모리, 하드 디스크, CD(Compact Disc) 등을 이용할 수 있다. 또한, 제어부(206)는 시스템 제어부(34)(도 1 참조)의 제어하에서 동작하도록 되어 있다.
다음에, 이상과 같이 구성된 제 3 처리 장치(12C)의 동작에 대해 설명한다. 우선, 반도체 웨이퍼 W는 도시되지 않은 반송 암에 유지되어, 개방 상태로 된 게이트밸브 G와 반출입구(142)를 거쳐서, 처리용기(132)내에 반입된다. 이 웨이퍼 W는 상승된 밀어 올림 핀(162)에 수수된 후, 이 밀어 올림 핀(162)이 강하하는 것에 의해서, 탑재대(156)의 상면에 탑재되어 지지된다.
다음에, 원료 가스 공급계(184)가 동작되어, 샤워헤드부(134)에 원료 가스가 그 유량이 제어되면서 공급되어, 해당 원료 가스가 가스 분사 구멍(136)으로부터 분사되어, 처리공간 S에 도입된다. 이 가스의 공급 형태에 대해서는 후술하는 바와 같이 각종 형태가 존재한다.
그리고, 진공 배기계(176)에 마련된 진공 펌프(182)의 구동을 계속하는 것에 의해, 처리용기(132)내나 배기 공간(146)내의 분위기가 진공화된다. 그리고, 압력 조정 밸브(180)의 밸브 개방도가 조정되어 처리공간 S의 분위기가 소정의 프로세스 압력으로 유지된다. 이 때, 웨이퍼 W는 탑재대(156)내에 마련된 저항 가열 히터(158)에 의해 가열되어, 소정의 프로세스 온도로 유지된다. 이에 따라, 반도체 웨이퍼 W의 표면에 원하는 금속막, 즉 여기서는 Ru막이 형성되게 된다.
(제 5 처리 장치(12E)의 설명)
다음에, 제 5 처리 장치(12E)(도 2 참조)에 대해 설명한다. 도 5는 제 5 처리 장치의 일예를 나타내는 구성도이며, 도 3 및 도 4에 나타내는 구성 부분과 동일 구성 부분에 대해서는 동일 참조 부호를 붙이고, 그 설명을 생략한다. 이 제 5 처리 장치(12E)는 1대의 처리 장치이면서, MnOx막과 Ru막을 성막할 수 있도록 되어 있다.
이 제 5 처리 장치(12E)는, 예를 들면, 내부가 대략 원통형상인 알루미늄제의 처리용기(40)를 갖고 있다. 그리고, 처리용기(40)의 천장부에, 필요한 처리 가스, 예를 들면, 성막 가스 등을 도입하기 위한 가스 도입 수단인 샤워헤드부(42)가 마련되어 있다. 샤워 헤드부(42)의 하면의 가스 분사면에 마련된 다수의 가스 분사 구멍(42A, 42B)으로부터 처리공간 S를 향해 처리 가스가 분사되도록 되어 있다.
샤워헤드부(42)내에는 중공형상의 2개로 구획된 가스 확산실(44A, 44B)이 형성되어 있고, 각 가스 확산실(44A, 44B)에 도입된 처리 가스가, 평면방향으로 확산된 후에, 각 가스 확산실(44A, 44B)에 각각 연통된 각 가스 분사 구멍(42A, 42B)으로부터 내뿜도록 되어 있다. 즉, 가스 분사 구멍(42A, 42B)은 매트릭스형상으로 배치되어 있어, 각 가스의 분사 구멍(42A, 42B)으로부터 분사된 각 가스가 처리공간 S에서 혼합하도록 되어 있다. 이러한 가스 공급 형태는 포스트 믹스(Post-Mix)로 칭해지고 있다.
샤워헤드부(42)의 전체는, 예를 들면, 니켈이나 하스테로이(등록상표) 등의 니켈 합금, 알루미늄, 혹은 알루미늄 합금에 의해 형성되어 있다. 샤워헤드부(42)와 처리용기(40)의 상단 개구부의 접합부에는, 예를 들면, O링 등으로 이루어지는 시일 부재(46)가 개재되어 있다. 이에 따라, 처리용기(40)내의 기밀성이 유지되도록 되어 있다.
샤워헤드부(42)에는 전이 금속 함유 원료 가스를 공급하는 전이 금속 함유 원료 가스 공급계(88)와, 금속막의 원료 가스를 공급하는 원료 가스 공급계(184)가 접속되어 있다. 전이 금속 함유 원료 가스 공급계(88)는 2개의 가스 확산실 중의 한쪽의 가스 확산실(44A)의 가스 입구(92)에 접속된 원료 가스 유로(94)를 갖고 있다. 또한, 금속막의 원료 가스 공급계(184)는 2개의 가스 확산실 중의 다른 쪽의 가스 확산실(44B)의 가스 입구(102)에 접속된 원료 가스 유로(188)를 갖고 있다.
또한, 도시되어 있지 않지만, 퍼지용의 불활성 가스 공급계가 샤워 헤드부(42)에 접속되어 있어, 필요에 따라 퍼지 가스를 공급할 수 있도록 되어 있다. 퍼지용 가스로서는 N2 가스, Ar 가스, He 가스, Ne 가스 등의 불활성 가스를 이용할 수 있다.
또, 이 경우, 전이 금속 함유 가스 공급계(88)의 가스 유로(94)와 원료 가스 공급계(184)의 가스 유로(188)가 각각의 가스 입구(92, 102)에 접속되어 있지만(포스트 믹스), 도 3, 도 4에 나타내는 바와 같이, 가스 확산실을 1개만 갖는 샤워헤드부에 이들 양 가스 유로를 접속(프리 믹스(Pre-Mix))하는 것도 가능하다.
이상과 같은 제 5 처리 장치(12E)에 의하면, 해당 1대의 처리 장치에서 상술한 바와 같이 MnOx막과 Ru막을 각각 성막할 수 있다. 또한, 후술하는 바와 같이, Mn함유 원료 가스와 Ru함유 원료 가스를 동시에 흘리는 것에 의해, Mn과 Ru의 혼합층을 형성할 수 있다.
(본 발명의 성막 방법)
다음에, 도 1 내지 도 5에 나타낸 바와 같은 처리 시스템 또는 처리 장치를 이용하여 실행되는 본 발명의 성막 방법에 대해 구체적으로 설명한다. 도 6a 내지 도 6d는 반도체 웨이퍼의 오목부를 중심으로 한 박막의 퇴적 상황의 일예를 설명하기 위한 도면이다. 도 7은 본 발명의 성막 방법의 기본적인 흐름도이다. 도 8은 매립 공정을 실시하기 위한 각종 형태를 설명하기 위한 도면이다. 도 9a 내지 도 9c는 금속막 형성 공정을 실시할 때의 각 가스의 공급 상태를 나타내는 타이밍도이다. 도 10은 Mn과 Ru를 포함하는 혼합막을 형성할 때의 각 가스의 공급 상태를 나타내는 타이밍도이다. 도 11은 시드막을 형성했을 때의 오목부의 최종적인 매립 상태를 나타내는 단면도이다. 도 12는 반도체 웨이퍼의 오목부의 바닥부에 있어서, 매립 금속과 배선층이 직접 접속된 상태를 나타내는 단면도이다. 도 13은 Mn과 Ru를 포함하는 혼합막을 형성했을 때의 오목부의 최종적인 매립 상태를 나타내는 단면도이다.
본 발명의 목적의 하나는 배리어층의 일부를 형성하는 전이 금속 함유막이나 Mn 배리어막과 오목부(2)의 매립막인 Cu막 사이에 Ⅷ족의 Ru막을 개재시켜, Cu막에 대한 배리어성을 높게 유지하고, 또한, Cu막의 밀착성을 높게 유지하는 것이다. 여기서, 전이 금속 함유막은 제 2 처리 장치(12B) 혹은 제 5 처리 장치(12E)에서 성막된 Mn막, MnOx막 등이며, Mn배리어막은 하지막과의 경계 부분에 형성된 MnOx막, MnSixOy막 등이다.
우선, 도 1 혹은 도 2에 나타내는 처리 시스템(10)내에 웨이퍼 W가 반입될 때에는 도 6a에 나타내는 바와 같이, 웨이퍼 W에 형성된, 예를 들면, 층간 절연막 등의 절연층(1)의 표면에 트렌치나 홀과 같은 오목부(2)가 형성되어 있다. 이 오목부(2)의 바닥부에 동 등으로 이루어지는 하부 배선층(3)이 노출 상태로 형성되어 있다.
구체적으로는 오목부(2)는 가늘고 길게 형성된 단면 오목형상의 홈(트렌치)(2A)과, 홈(2A)의 바닥부의 일부에 형성된 홀(2B)로 이루어진다. 이 홀(2B)이 콘택트 홀이나 스루 홀로 된다. 그리고, 홀(2B)의 바닥부에 배선층(3)이 노출되어 있다. 이에 따라, 하부 배선층이나 트랜지스터 등의 소자와 전기적인 접속이 실현되도록 되어 있다. 또, 하층의 배선층이나 트랜지스터 등의 소자에 대해서는 도시가 생략되어 있다. 하지막으로 되는 절연층(1)은 실리콘을 포함하는 산화물, 질화물 등으로 이루어지고, 예를 들면, SiO2로 이루어진다.
본 발명에 의한 방법에서는 이러한 상태의 반도체 웨이퍼 W의 표면에, 우선 전처리로서, 디가스 처리나 세정 처리가 실시되어, 오목부(2)내의 표면이 클리닝된다. 이 세정 처리는 제 1 처리 장치(12A)(도 1 참조)에 있어서 실행된다. 이 세정 처리로서는, 전술한 바와 같이, H2 플라즈마 처리, Ar 플라즈마 처리, 유기산을 이용한 드라이 클리닝 처리, 혹은 Hot-Wire 원자형상 수소를 이용한 클리닝 처리 등이 실행된다.
이와 같이 해서, 웨이퍼 W에 대한 전처리가 완료되면, 다음에 성막 과정으로 이행한다. 우선, 처음에, 전처리 후의 웨이퍼 W의 표면에, 도 6b에 나타내는 바와 같이, 전이 금속 함유막(210)을 형성하는 전이 금속 함유막 형성 공정이 실시된다(도 7 중의 S1). 이 공정은 제 2 처리 장치(12B) 혹은 제 5 처리 장치(12E)(도 2 참조)에서 실행된다. 이에 따라, 오목부(2)내의 바닥부를 제외하고, 오목부(2)내의 표면을 포함하는 웨이퍼 표면 전체에 전이 금속 함유막(210)이 형성된다. 전이 금속 함유막(210)은 여기서는 주로, MnOx막 혹은 Mn막으로 이루어지고, 하지의 절연층(1)과의 경계 부분에는 Mn배리어막인 MnOx 또는 MnSixOy(x, y는 임의의 정수)가 형성된다.
전이 금속 함유막(210)의 형성 방법은 CVD(Chemical Vapor Deposition)법이 바람직하지만, ALD법(Atomic Layered Deposition)법이라도 좋다. 여기서, ALD법은 다른 성막용 가스를 교대로 공급하여, 원자 레벨 혹은 분자 레벨의 박막을 1층씩 반복 형성하여 적층시키는 성막 방법을 말한다.
전이 금속 함유막 형성 공정이 완료되면, 다음에, 도 6c에 나타내는 바와 같이, 금속막 형성 공정(도 7 중의 S2)이 실행되어, 웨이퍼 W의 표면에 원소 주기표의 Ⅷ족의 원소를 포함하는 금속막(212)이 형성된다. 이 공정은 제 3 처리 장치(12C), 혹은 제 5 처리 장치(12E)에서 실행된다. 이에 따라, 오목부(2)내의 표면을 포함하는 웨이퍼 표면 전체에 금속막(212)이 형성되게 되고, 그리고, 전이 금속 함유막(210)과 해당 금속막(212)으로 배리어층(214)이 형성되게 된다.
상기 금속막(212)은 여기서는, 예를 들면, Ru막으로 이루어진다. 또한, 상기 금속막(212)의 형성은 CVD법, ALD법, 스퍼터법의 어느 것을 이용해도 좋다. 또한, 스퍼터법을 실행하는 경우에는 제 3 처리 장치(12C)로서, Ru 금속을 타겟으로 해서 스퍼터링을 실행하는 스퍼터 성막 장치가 이용된다.
금속막 형성 공정이 완료하면, 다음에, 도 6d에 나타내는 바와 같이, 매립 공정이 실행되어, 오목부(2)내가 매립 금속(216)에 의해 매설된다(도 7중의 S3). 이 매립 공정은 제 4 처리 장치(12D)에서 실행된다. 이에 따라, 오목부(2)내가 완전히 매립되는 동시에, 웨이퍼 표면 전체에 매립 금속(216)이 성막되게 된다. 매립 금속(216)으로서는 여기서는 Cu(Cu막)가 이용된다.
매립 금속(216)의 형성은 CVD법, ALD법, PVD(스퍼터)법, 초임계CO2법(Supercritical CO2 Method), 도금법의 어느 것을 이용해도 좋다. 또한, 도금법이나 초임계 CO2법에 의한 매립 처리를 실행하는 경우에는 제 4 처리 장치(12D)에서 Cu 시드막을 퇴적시키고, 이 처리 시스템(10)의 밖에 마련된 처리 장치에서 매립 처리를 실행할 수 있다.
또한, 금속막(212)인 Ru막은 Cu에 대해 시드막으로서의 기능도 겸비한다. 따라서, Cu 도금이나 초임계 Cu성막을 실행하는 경우에, Cu 시드막을 실시하지 않고, Ru막상에 Cu를 퇴적할 수 있다. 또한, Cu의 퇴적을 확실하게 실행하기 위해, 종래 방법과 마찬가지로, Ru막상에 Cu시드막을 실시한 후에 Cu 도금 또는 초임계 Cu 성막을 실행하도록 해도 좋다. 이 경우의 단면 구조는, 도 11에 나타내는 바와 같이, Ru막인 금속막(212)과 Cu로 이루어지는 매립 금속(216) 사이에 시드막(218)이 개재된 구조로 된다. 이상과 같이 해서, 성막 처리는 종료한다. 이후는 웨이퍼 표면상의 여분의 매립 금속(216) 등이 CMP 처리에 의해서 깎아내어진다.
이와 같이, 피처리체, 예를 들면, 반도체 웨이퍼 W의 표면에 박막을 형성하는 성막 방법에 있어서, 전이 금속 함유 원료 가스를 이용하여 열처리에 의해 전이 금속 함유막(210)을 형성하는 전이 금속 함유막 형성 공정과, 원소 주기표의 Ⅷ족의 원소를 포함하는 금속막(212)을 형성하는 금속막 형성 공정을 실행하여, 전이 금속 함유막(210)과 금속막(212)의 적층 구조를 형성하는 것에 의해, 이 적층 구조상에 형성되는, 예를 들면, Cu막에 대한 우수한 배리어성 및 밀착성을 실현할 수 있다.
여기서, 상기 각 공정에 대해 상세하게 설명한다. 우선, 제 2 처리 장치(12B)를 이용하여 전이 금속 함유막(210)(MnOx막, Mn막 등의 Mn함유막)을 형성하는 전이 금속 함유막 형성 공정(S1)은 CVD법으로 실행하는 것이 바람직하다.
구체적으로는, Mn함유 원료 가스를 환원 가스인 수소로 버블링해서 공급하고, 열 CVD법에 의해 Mn함유막을 형성한다. 이 경우, Mn함유 원료 가스가 하지의 SiO2로 이루어지는 절연층의 표면 또는 표면 근방의 산소 성분이나 수분과 반응하거나, 혹은 SiO2중의 O(산소)와 반응하여, 최종적으로 MnOx막이 형성되게 된다.
단, 이 경우, 오목부(2)의 홀(2B)의 바닥부는 Cu로 이루어지는 배선층(3)이 노출되어 있고, 그 표면은 전처리에 의해서 동 산화물이 제거되어 있다. 이 때문에, CVD-MnOx의 성장 선택성에 의해, Mn막은 거의 퇴적되지 않고, 또한, Mn함유 원료 가스로부터 Mn원자가 분리했다고 해도 Mn은 Cu 중에 고용/확산하기 때문에, MnOx막이 형성되는 일은 없다. 따라서, 홀(2B)의 바닥부에서는 매립 금속(216)인 Cu막과 배선층(3)의 Cu가 직접적으로 혹은 금속의 Ru막을 거쳐서 접합되게 된다. 따라서, 이 접합부는 금속 콘택트의 상태가 되어, 전기 저항이 비교적 큰 MnOx막이 개재하지 않는 상태로 되어 있으므로, 그 만큼, 콘택트 저항은 작다.
이 때의 오목부(2)의 단면형상이 도 12에 나타나 있다. 오목부(2)의 홀(2B)의 바닥부에서는 배선층(3)과 금속막(212)인 Ru막이 직접적으로 접속되어 양호한 상태로 되어 있다. 또한, 이 때의 프로세스 조건은 프로세스 온도(웨이퍼 온도)가 70∼450°C, 프로세스 압력이 1Pa∼13kPa 정도이다. 또한, Mn함유 원료 가스의 유량은 특히 제한은 없지만, 성막속도 등을 고려하면, 0.1∼10sccm 정도이다.
다음에, 금속막(212)(Ru막)을 형성하는 금속막 형성 공정(S2)은 도 7의 S2에 있어서 설명한 바와 같이, CVD법과 ALD법과 스퍼터법의 3종류가 있다. CVD법은 도 9a 또는 도 9b에 나타내는 바와 같은 형태에서 실행된다. 도 9a에 나타내는 성막 방법은 도 4에 나타내는 제 3 성막 장치(12C), 혹은 도 5에 나타내는 제 5 성막 장치(12E)를 이용하여 실행된다.
도 9a에 나타내는 성막 방법에서는 루테늄 카르보닐로 이루어지는 원료(190)를 기화해서 만든 Ru함유 원료 가스를 버블링 가스와 함께 흘리고, CVD법에 의해 열분해시켜, Ru막으로 이루어지는 금속막(212)을 형성한다. 이 때의 프로세스 조건은 프로세스 압력이 0.1mTorr∼200mTorr의 범위내, 더욱 바람직하게는 2mTorr∼50mTorr의 범위내, 프로세스 온도가 50∼500°C의 범위내, 더욱 바람직하게는 150°C∼350°C이다.
가스 유량의 제어는 전술한 바와 같이, 압력계(196)의 측정값에 의거하여 버블링 가스의 유량을 제어하는 것에 의해서 실행된다. 예를 들면, 버블링 가스의 유량은 0.1∼1000sccm의 범위내이다.
또한, 도 9b에 나타내는 CVD법에서는 환원 가스로서 H2가 이용된다. 또한, 이 H2를 이용한 CVD법은 도 4에 나타내는 제 3 처리 장치(12C)에 있어서, 또한 H2 가스 공급계를 샤워헤드부(134)에 추가 접속해서 실행된다.
이 경우에는 Ru함유 원료 가스와 H2 가스가 동시에 흘려지고, H2 가스에 의해 Ru함유 원료 가스의 분해 내지 환원이 촉진되어, Ru막으로 이루어지는 금속막(212)이 형성된다. 이 경우에는 환원 가스를 공급한 만큼, Ru막의 재료 특성을 개선시키는 효과, 예를 들면 Ru막의 전기 저항의 저감 등이 얻어진다. 이 때의 프로세스 압력이나 프로세스 온도 등의 프로세스 조건은 도 9a에 있어서 설명한 내용과 동일하다.
또한, 도 9c에 나타내는 ALD법에서는 도 9b에 관해 설명한 처리 장치를 이용하여, Ru함유 원료 가스와 환원 가스인 H2 가스가 교대로 간헐적으로 흘려지고, 원자 레벨 혹은 분자 레벨의 박막이 적층되어, Ru막으로 이루어지는 금속막(212)이 형성된다.
이 때의 프로세스 압력이나 프로세스 온도 등의 프로세스 조건은 도 9a에 대해 설명한 내용과 동일하다. 또한, 여기서, 상기 환원 가스는 H2에 한정되지 않고, CO나, 실리콘 함유 가스, 붕소 함유 가스, 질소 함유 가스 등을 이용할 수 있다. 실리콘 함유 가스로서는, 예를 들면, SiH4, Si2H6, SiC12H2 등이며, 붕소 함유 가스로서는, 예를 들면, BH3, B2H5, B3H9 등이며, 질소 함유 가스로서는, 예를 들면, NH3이다.
또한, 스퍼터법의 경우에는, 전술한 바와 같이, 제 3 처리 장치(12C)로서 스퍼터 성막 장치를 이용하여, Ru 금속을 타겟으로 한 스퍼터링 처리에 의해, 웨이퍼 W의 표면에 Ru막이 형성된다.
다음에, 오목부(2)의 매립을 실행하는 매립 공정(S3)은, 도 8에 나타내는 바와 같이, CVD법과 ALD법과 PVD(스퍼터)법과 도금법과 초임계 CO2법의 5종류가 있다. 도금법이나 초임계 CO2법을 이용하는 경우에는 매립 처리를 실행하기 전에, Cu 등의 도전성 금속으로 이루어지는 시드막을 형성하도록 해도 좋다. 또한, 매립 처리를 실행한 후에, 어닐 처리를 실행하는 것이 바람직하다.
CVD법의 경우에는 Cu함유 원료 가스와 환원 가스로서의 H2 가스가 동시에 흘려지고, CVD법에 의해 Cu막을 형성함으로써 오목부(2)의 매립이 실행된다. ALD법의 경우에는 Cu함유 원료 가스와 H2 가스와, 예를 들면, 도 9c에 대해 설명한 것과 마찬가지로 교대로 반복 흘려진다. 여기서, H2 가스는 흘리지 않고, Cu함유 원료 가스가 간헐적으로 흘려지고, 단순한 열분해 반응에 의해 Cu막으로 이루어지는 Cu막이 형성되어도 좋다.
이 때의 프로세스 조건은(CVD 처리의 경우도 포함) 프로세스 온도가 70∼350°C 정도, 프로세스 압력이 1Pa∼13kPa 정도이다. 또한, Cu함유 원료 가스의 유량은 1∼100sccm 정도, H2 가스의 유량은 5∼500sccm 정도이다.
CVD법이나 ALD법의 경우에는 도금법보다도 미세한 오목부의 내벽에 박막이 퇴적되기 쉬워지므로, 오목부가 더욱 미세화되어도, 내부에 보이드(Void) 등을 생기게 하는 일 없이, 오목부의 매립을 실행할 수 있다. 또한, 어닐 처리를 실행하는 경우에는 매립 처리가 완료된 웨이퍼 W를, 소정 농도의 산소함유 가스의 분위기중에서 소정의 프로세스 온도, 예를 들면, 100∼450°C 정도로 가열하고, 이에 따라, Mn함유막과 하지막으로 되는 SiO2막으로 이루어지는 절연층(1)의 경계 부분에, 자기 정합적으로 배리어성을 높이는 Mn배리어막(MnOx막, MnSixOy막 등)을 확실하게 형성할 수 있다.
이 어닐 처리는 Mn배리어막을 확실하게 형성하는 것을 목적으로 하고 있으며, 따라서, 전공정이 Mn배리어막의 자기형성에 있어서 충분히 높은 온도, 예를 들면, 100∼150°C 이상의 고온의 프로세스 온도에서 실행되고 있으면, 상기 Mn배리어막은 이미 충분한 두께로 형성된 상태로 되어 있으므로, 상기 어닐 처리를 불필요로 할 수 있다. 여기서, Cu함유 원료 가스로서는 일본국 특허공개 제2001-053030호 공보에 기재되어 있는 바와 같은 Cu(I)hfac TMVS(동 착체(Copper Complex)), Cu(hfac)2, Cu(dibm)2 등을 이용할 수 있다.
이상과 같이, 피처리체의 표면에 박막을 형성하는 성막 방법에 있어서, 천이 금속 함유 원료 가스를 이용하여 열 처리에 의해 전이 금속 함유막(210)을 형성하는 전이 금속 함유막 형성 공정과, 원소 주기표의 Ⅷ족의 원소를 포함하는 금속막(212)을 형성하는 금속막 형성 공정을 실행하여, 전이 금속 함유막(210)과 금속막(212)의 적층 구조를 형성하는 것에 의해, 이 적층 구조상에 형성되는, 예를 들면, Cu막에 대한 우수한 배리어성 및 밀착성을 실현할 수 있다.
또한, 상술한 대부분의 일련의 처리를, 동일한 처리 시스템내에서, 즉 원위치(in-situ)에서 대기 폭로하지 않고, 연속 처리로서 실행할 수 있으므로, 스루풋을 향상시킬 수 있는 동시에, 막질이나 밀착성의 향상을 도모할 수 있다. 또한, 상기 각 실시형태에서는 Ⅷ족의 원소로서 Ru를 이용한 경우를 예로 들어 설명했지만, 이것에 한정되지 않고, 다른 Ⅷ족의 원소, 예를 들면, Fe, Co, Ni, Rh, Pd, Os, Ir, Pt로 이루어지는 군에서 선택되는 1개, 혹은 이들 합금도 이용할 수 있다.
실제로, 상기 Ⅷ족의 원소를 중심으로 해서, 동막과의 밀착성에 대해 평가 실험을 실행하였다. 그 결과, 양호한 결과가 얻어졌다. 이 평가 실험에서는 각 원소의 금속막을 스퍼터법이나 증착법으로 형성하고, 그 위에 Cu막을 형성하고, 이것을 테이프 테스트로 벗겨냈다. 그 결과, Ⅷ족 이외의 원소인 Ti, Ta, Ag, Au의 금속막에 관해서는 충분한 밀착성이 얻어지지 않았지만, Ⅷ족의 원소인 Ru, Co, Ni, Rh, Pd, Ir, Pt의 금속막에 대해서는 높은 밀착성을 갖고 있어, 본 발명의 유효성을 확인할 수 있었다.
또한, 상기 실시형태에서는 Mn함유막과, 이 상층의 Ru막이 명확하게 분리되어 있는 경우를 예로 들어 설명했지만, 이것에 한정되지 않고, 상기 양 막의 경계 부분에서 Mn과 Ru의 혼합층이 형성되어도 좋다. 이러한 혼합층은 도 5에 나타내는 제 5 처리 장치(12E)를 이용하여, 도 10에 나타내는 바와 같이, Mn 함유 원료 가스를 규정량 흘려 Mn막(MnOx막)을 형성하고, 도중부터, 즉 전이 금속 함유막 형성 공정에서 금속막 형성 공정으로 이행시에, Mn함유 원료 가스의 공급량을 유량 제로를 향해 점차 감소시키면서 Ru함유 원료 가스의 공급량을 점차 증가시키고, 최종적으로 Ru함유 원료 가스만을 규정량 흘려 Ru막을 형성한다고 하는 형태에 의해서 얻어진다.
이 경우, 도 13에 나타내는 바와 같이, Mn함유막(210)과 Ru막의 금속막(212) 사이에 양 원소의 혼합층(222)을 형성할 수 있다. 이 경우에는 Mn함유막(210)과 Ru막(212)의 밀착성의 향상을 더욱 더 도모할 수 있다.
또한, 상기 실시형태에서는 열 CVD 및 열 ALD에 의한 성막 방법을 예로 들어 설명했지만, 이것에 한정되지 않고, 플라즈마 CVD, 플라즈마 ALD, 자외선이나 레이저 광을 이용한 광 CVD, 광 ALD등에 의한 성막 방법을 이용해도 좋다.
또한, Mn함유 원료를 이용한 유기 금속재료로서는 Cp2Mn[=Mn(C5H5)2],(MeCp)2Mn[=Mn(CH3C5H4)2], (EtCp)2Mn[=Mn(C2H5C5H4)2], (i-PrCp)2 Mn[=Mn(C3H7C5H4)2], MeCpMn(CO)3[=(CH3C5H4)Mn(CO)3],(t-BuCp)2Mn[=Mn(C4H9C5H4)2], CH3 Mn(CO)5,Mn(DPM)3[=Mn(C11H19O2)3], Mn(DMPD)(EtCp)[=Mn(C7H11C2H5C5H4)], Mn(acac)2 [=Mn(C5H7O2)2], Mn(DPM)2[=Mn(C11H19O2)2],Mn(acac)3[=Mn(C5H7O2)3], Mn(hfac)2[=Mn (C5HF6O2)3], ((CH3)5Cp)2Mn[=Mn((CH3)5C5H4)2]로 이루어지는 군에서 선택되는 1이상의 재료를 이용할 수 있다. 또한, 유기 금속재료 이외에도, 금속 착체 재료를 이용할 수 있다.
또한, 여기서는 하지막인 절연층(1)으로서 SiO2를 이용한 경우를 예로 들어 설명했지만, 이것에 한정되지 않고, 막중에 O(산소) 또는 C(탄소)를 포함하는 층간 절연층으로서 이용되는 Low-k(저비유전률) 재료인 SiOC막, SiCOH막 등을 이용하도록 해도 좋다. 구체적으로는, 상기 하지막은 SiO2막(열 산화막과 플라즈마 TEOS막을 포함)과 SiOF막과 SiC막과 SiN막과 SiOC막과 SiCOH막과 SiCN막과 포러스 실리카막과 포러스메틸실세스퀴옥산막과 폴리 아릴렌막과 SiLK(등록상표)막과 플루오로 카본막으로 이루어지는 군에서 선택되는 1개, 혹은 이들 적층막을 이용할 수 있다. 이들 층간 절연막 중의 O, C는, 예를 들면, Mn막과의 경계 부분에 있어서, Mn 산화물 혹은 Mn 카바이트를 형성하고, 배리어 기능을 발휘하게 된다.
더 나아가서는 여기서는 전이 금속으로서 Mn을 이용한 경우를 예로 들어 설명했지만, 이것에 한정되지 않고, 다른 전이 금속, 예를 들면 Nb, Zr, Cr, V, Y, Pd, Ni, Pt, Rh, Tc, Al, Mg, Sn, Ge, Ti, Re로 이루어지는 군에서 선택되는 1이상의 금속을 이용할 수 있다.
또한, 여기서 설명한 각 처리 장치는 단지 일예를 나타낸 것에 불과하다. 예를 들면, 가열 수단으로서, 저항 가열 히터 대신에 할로겐램프 등의 가열램프를 이용하도록 해도 좋고, 열처리 장치는 낱장식 뿐만 아니라 일괄식의 것이라도 좋다.
더 나아가서는 열처리에 의한 성막에 한정되지 않고, 예를 들면, 샤워헤드부(42, 134)를 상부 전극으로 하고, 탑재대(60, 156)를 하부 전극으로 해서, 양 전극간에 고주파 전력을 필요에 따라 인가하여 플라즈마를 세우도록 하고, 성막시에 플라즈마에 의한 어시스트를 가하도록 해도 좋다.
또한, 여기서는 피처리체로서 반도체 웨이퍼를, 예로 들어, 설명했지만, 이것에 한정되지 않고, 유리 기판, LCD 기판, 세라믹 기판 등에도 본 발명을 적용할 수 있다.
또한, CVD법에 의한 전이 금속 함유막의 성막에 의하면, 반도체 웨이퍼상에 대소 다양한 트렌치 또는 홀이 혼재되어 있어도, 모든 오목부에 대해 충분히 얇고 균일한 자기정합 배리어막을 형성할 수 있다. 이 때문에, Cu 다층 배선에 있어서, 하층의 로컬 배선에서 상층의 글로벌 배선에 거쳐, 본 발명의 기술을 적용할 수 있다. 즉, Cu다층 배선의 미세화가 가능하게 된다. 이에 따라, 반도체 장치(디바이스)의 고속화와 미세화가 실현 가능하게 된다. 이에 따라, 소형이면서 고속이고 신뢰성이 있는 전자기기를 작성하는 것이 가능해진다.

Claims (24)

  1. 진공 배기 가능하게 이루어진 처리용기내에서, 표면에 오목부를 갖는 피처리체의 표면에 성막 처리를 실시하는 성막 방법에 있어서,
    전이 금속 함유 원료 가스를 이용하여, 열 처리에 의해서 전이 금속 함유막을 형성하는 전이 금속 함유막 형성 공정과,
    원소 주기표의 Ⅷ족의 원소를 포함하는 금속막을 형성하는 금속막 형성 공정
    을 구비한 것을 특징으로 하는 성막 방법.
  2. 제 1 항에 있어서,
    상기 열 처리는 CVD(Chemical Vapor Deposition)법인 것을 특징으로 하는 성막 방법.
  3. 제 2 항에 있어서,
    상기 열 처리는 상기 원료 가스와 환원 가스를 이용하는 열 CVD법인 것을 특징으로 하는 성막 방법.

  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 금속막 형성 공정에서도 열처리가 실행되는 것을 특징으로 하는 성막 방법.
  5. 제 4 항에 있어서,
    상기 금속막 형성 공정에 있어서의 상기 열 처리는 CVD법인 것을 특징으로 하는 성막 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 전이 금속 함유막 형성 공정과 상기 금속막 형성 공정은 동일한 처리용기내에서 연속적으로 실행되고,
    상기 양 공정의 이행시에는 상기 전이 금속 함유 원료 가스의 공급량을 점차 감소시키면서, 상기 Ⅷ족의 원소를 포함하는 Ⅷ족 원료 가스의 공급량을 점차 증가시키는 것에 의해서, 상기 전이 금속과 상기 Ⅷ족의 원소를 포함하는 혼합층이 형성되는 것을 특징으로 하는 성막 방법.

  7. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 금속막 형성 공정에서는 스퍼터법에 의해서 성막이 실행되는 것을 특징으로 하는 성막 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 금속막 형성 공정 후에, 동막을 퇴적시켜 상기 오목부내를 매립하는 매립 공정이 실행되는 것을 특징으로 하는 성막 방법.
  9. 제 8 항에 있어서,
    상기 매립 공정은 CVD법에 의해서 실행되는 것을 특징으로 하는 성막 방법.
  10. 제 8 항에 있어서,
    상기 매립 공정은 도금법에 의해서 실행되는 것을 특징으로 하는 성막 방법.
  11. 제 8 항에 있어서,
    상기 매립 공정은 스퍼터법에 의해서 실행되는 것을 특징으로 하는 성막 방법.
  12. 제 8 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 매립 공정 후에, 상기 피처리체를 어닐하는 어닐 공정이 실행되는 것을 특징으로 하는 성막 방법.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 박막의 하지막은 SiO2막과 SiOF막과 SiC막과 SiN막과 SiOC막과 SiCOH막과 SiCN막과 포러스 실리카막과 포러스 메틸 실세스 퀴옥산막과 폴리 아릴렌막과 SiLK(등록상표)막과 플루오로 카본막으로 이루어지는 군에서 선택되는 1개 이상의 막으로 이루어지는 것을 특징으로 하는 성막 방법.
  14. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 오목부의 하부에는 배선층이 형성되어 있는 것을 특징으로 하는 성막 방법.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 전이 금속 함유 원료 가스는 유기 금속재료 가스 혹은 금속 착체 재료 가스로 이루어지는 것을 특징으로 하는 성막 방법.
  16. 제 15 항에 있어서,
    상기 전이 금속은 망간(Mn)으로 이루어지고, 해당 망간을 포함하는 유기 금속 재료는 Cp2Mn[=Mn(C5H5)2], (MeCp)2Mn[=Mn(CH3C5H4)2], (EtCp)2Mn[=Mn(C2H5 C5H4)2],(i-PrCp)2Mn[=Mn(C3H7C5H4)2], MeCpMn(CO)3[=(CH3C5H4)Mn(CO)3],(t-BuCp)2Mn[=Mn (C4H9C5H4)2], CH3Mn(CO)5,Mn(DPM)3[=Mn(C11H19O2)3], Mn(DMPD)(EtCp)[=Mn(C7H11C2H5 C5H4)], Mn(acac)2[=Mn(C5H7O2)2], Mn(DPM)2[=Mn(C11H19O2)2], Mn(acac)3[=Mn(C5H7O2)3], Mn(hfac)2[=Mn(C5HF6O2)3], ((CH3)5Cp)2Mn[=Mn((CH3)5C5H4)2]로 이루어지는 군에서 선택되는 1이상의 재료인 것을 특징으로 하는 성막 방법.
  17. 제 1 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 각 열 처리에 있어서, 플라즈마가 병용되는 것을 특징으로 하는 성막 방법.
  18. 제 1 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 Ⅷ족의 원소는 Fe, Co, Ni, Ru, Rh, Pd, Os, Ir, Pt로 이루어지는 군에서 선택되는 1이상의 원소인 것을 특징으로 하는 성막 방법.
  19. 피처리체의 처리 시스템에 있어서,
    상기 피처리체의 표면에, 전이 금속을 포함하는 전이 금속 함유 원료 가스를 이용하여, 열 처리에 의해서 전이 금속 함유막을 형성하는 처리 장치와,
    상기 피처리체의 표면에 원소 주기표의 Ⅷ족의 원소를 포함하는 금속막을 형성하는 처리 장치와,
    상기 피처리체의 표면에 동막을 형성하는 처리 장치와,
    상기 각 장치가 연결된 공통 반송실과,
    상기 공통 반송실내에 마련되어, 상기 각 처리 장치내에 상기 피처리체을 반송하기 위한 반송 기구와,
    청구항 1 내지 18 중의 어느 한 항에 기재된 성막 방법을 실시하도록 처리 시스템 전체를 제어하는 시스템 제어부를 구비한 것을 특징으로 하는 처리 시스템.
  20. 피처리체의 처리 시스템에 있어서,
    상기 피처리체의 표면에, 전이 금속 함유 원료 가스를 이용하여 열 처리에 의해서 전이 금속 함유막을 형성하는 성막 처리와, 원소 주기표의 Ⅷ족의 원소를 포함하는 금속막을 형성하는 성막 처리를 실행하는 처리 장치와,
    상기 피처리체의 표면에 동막을 형성하는 처리 장치와,
    상기 각 처리 장치가 연결된 공통 반송실과,
    상기 공통 반송실내에 마련되어, 상기 각 처리 장치내에 상기 피처리체를 반송하기 위한 반송 기구와,
    청구항 1 내지 18 중의 어느 한 항에 기재된 성막 방법을 실시하도록 처리 시스템 전체를 제어하는 시스템 제어부를 구비한 것을 특징으로 하는 처리 시스템.
  21. 청구항 19에 기재된 처리 시스템을 청구항 1 내지 18 중의 어느 한 항에 기재된 성막 방법을 실시하도록 제어하는 컴퓨터 판독 가능한 프로그램을 기억하는 것을 특징으로 하는 기억 매체.
  22. 청구항 20에 기재된 처리 시스템을 청구항 1 내지 18 중의 어느 한 항에 기재된 성막 방법을 실시하도록 제어하는 컴퓨터 판독 가능한 프로그램을 기억하는 것을 특징으로 하는 기억 매체.
  23. 청구항 1 내지 18 중의 어느 한 항에 기재된 성막 방법에 의해서 형성된 막 구조를 갖는 것을 특징으로 하는 반도체 장치.
  24. 청구항 1 내지 18 중의 어느 한 항에 기재된 성막 방법에 의해서 형성된 막 구조를 갖는 것을 특징으로 하는 전자기기.
KR1020117000753A 2008-07-11 2009-07-07 성막 방법 및 처리 시스템 KR101214704B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008182062A JP5417754B2 (ja) 2008-07-11 2008-07-11 成膜方法及び処理システム
JPJP-P-2008-182062 2008-07-11
PCT/JP2009/062380 WO2010004998A1 (ja) 2008-07-11 2009-07-07 成膜方法及び処理システム

Publications (2)

Publication Number Publication Date
KR20110017916A true KR20110017916A (ko) 2011-02-22
KR101214704B1 KR101214704B1 (ko) 2012-12-21

Family

ID=41507111

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117000753A KR101214704B1 (ko) 2008-07-11 2009-07-07 성막 방법 및 처리 시스템

Country Status (5)

Country Link
US (1) US8440563B2 (ko)
JP (1) JP5417754B2 (ko)
KR (1) KR101214704B1 (ko)
CN (1) CN102077325B (ko)
WO (1) WO2010004998A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150037837A (ko) * 2012-07-18 2015-04-08 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
WO2017057871A1 (ko) * 2015-09-30 2017-04-06 (주)아이작리서치 원자층 증착 장치 및 원자층 증착 방법

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5133013B2 (ja) * 2007-09-10 2013-01-30 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
JP5443070B2 (ja) * 2009-06-19 2014-03-19 東京エレクトロン株式会社 インプリントシステム
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
US9926639B2 (en) * 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US8399353B2 (en) * 2011-01-27 2013-03-19 Tokyo Electron Limited Methods of forming copper wiring and copper film, and film forming system
JP5767570B2 (ja) * 2011-01-27 2015-08-19 東京エレクトロン株式会社 Cu配線の形成方法およびCu膜の成膜方法、ならびに成膜システム
WO2012173067A1 (ja) * 2011-06-16 2012-12-20 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置、半導体装置の製造装置及び記憶媒体
JP2013074173A (ja) * 2011-09-28 2013-04-22 Ulvac Japan Ltd 半導体装置の製造方法、半導体装置
JP2013193394A (ja) * 2012-03-22 2013-09-30 Ricoh Co Ltd 液滴吐出ヘッド、液滴吐出ヘッドの製造方法及び画像形成装置
JP5969306B2 (ja) * 2012-08-08 2016-08-17 東京エレクトロン株式会社 Cu配線の形成方法
JP6117588B2 (ja) * 2012-12-12 2017-04-19 東京エレクトロン株式会社 Cu配線の形成方法
JP6030439B2 (ja) * 2012-12-27 2016-11-24 東京エレクトロン株式会社 マンガン含有膜の形成方法、処理システム、および電子デバイスの製造方法
JP6186780B2 (ja) * 2013-03-18 2017-08-30 富士通株式会社 半導体装置およびその製造方法
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
JP6268008B2 (ja) 2014-03-17 2018-01-24 東京エレクトロン株式会社 Cu配線の製造方法
JP6268036B2 (ja) 2014-05-16 2018-01-24 東京エレクトロン株式会社 Cu配線の製造方法
US9506890B2 (en) 2014-12-16 2016-11-29 Eastman Chemical Company Physical vapor deposited biosensor components
JP6385856B2 (ja) 2015-02-26 2018-09-05 東京エレクトロン株式会社 Cu配線の形成方法および半導体装置の製造方法
US10096548B2 (en) 2015-03-16 2018-10-09 Tokyo Electron Limited Method of manufacturing Cu wiring
JP6584326B2 (ja) 2015-03-16 2019-10-02 東京エレクトロン株式会社 Cu配線の製造方法
JP2017050304A (ja) 2015-08-31 2017-03-09 東京エレクトロン株式会社 半導体装置の製造方法
JP2017135237A (ja) 2016-01-27 2017-08-03 東京エレクトロン株式会社 Cu配線の製造方法およびCu配線製造システム
EP3472340B1 (en) 2016-06-15 2023-08-16 Eastman Chemical Company Physical vapor deposited biosensor components
EP3512957B1 (en) 2016-09-16 2022-03-09 Eastman Chemical Company Biosensor electrodes prepared by physical vapor deposition
KR102547061B1 (ko) 2016-09-16 2023-06-22 이스트만 케미칼 컴파니 물리적 증착에 의해 제조된 바이오센서 전극
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
US10490411B2 (en) * 2017-05-19 2019-11-26 Applied Materials, Inc. Method for enabling self-aligned lithography on metal contacts and selective deposition using free-standing vertical carbon structures
KR102646492B1 (ko) 2017-06-22 2024-03-12 이스트만 케미칼 컴파니 물리적으로 증착된 전기화학 센서용 전극
JP2021195609A (ja) * 2020-06-17 2021-12-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20230030593A (ko) * 2020-07-01 2023-03-06 램 리써치 코포레이션 금속 옥사이드 확산 배리어들
WO2023152502A1 (en) * 2022-02-10 2023-08-17 Pilkington Group Limited Process for forming a coating

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3409831B2 (ja) * 1997-02-14 2003-05-26 日本電信電話株式会社 半導体装置の配線構造の製造方法
JP2001053030A (ja) 1999-08-11 2001-02-23 Tokyo Electron Ltd 成膜装置
JP3495033B1 (ja) 2002-09-19 2004-02-09 東京エレクトロン株式会社 無電解メッキ装置、および無電解メッキ方法
US7297628B2 (en) * 2003-11-19 2007-11-20 Promos Technologies, Inc. Dynamically controllable reduction of vertical contact diameter through adjustment of etch mask stack for dielectric etch
JP4478038B2 (ja) * 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
JP2006080234A (ja) * 2004-09-08 2006-03-23 Renesas Technology Corp 半導体装置およびその製造方法
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
JP4236201B2 (ja) * 2005-08-30 2009-03-11 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US20070069383A1 (en) * 2005-09-28 2007-03-29 Tokyo Electron Limited Semiconductor device containing a ruthenium diffusion barrier and method of forming
JP4946008B2 (ja) * 2005-11-15 2012-06-06 ソニー株式会社 半導体装置および半導体装置の製造方法
JP2007220738A (ja) * 2006-02-14 2007-08-30 Sony Corp 半導体装置の製造方法
JP2008031541A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd Cvd成膜方法およびcvd成膜装置
JP4634977B2 (ja) * 2006-08-15 2011-02-16 Okiセミコンダクタ株式会社 半導体装置及び半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150037837A (ko) * 2012-07-18 2015-04-08 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
WO2017057871A1 (ko) * 2015-09-30 2017-04-06 (주)아이작리서치 원자층 증착 장치 및 원자층 증착 방법

Also Published As

Publication number Publication date
US8440563B2 (en) 2013-05-14
US20110163451A1 (en) 2011-07-07
KR101214704B1 (ko) 2012-12-21
JP5417754B2 (ja) 2014-02-19
JP2010021447A (ja) 2010-01-28
CN102077325A (zh) 2011-05-25
WO2010004998A1 (ja) 2010-01-14
CN102077325B (zh) 2013-11-06

Similar Documents

Publication Publication Date Title
KR101214704B1 (ko) 성막 방법 및 처리 시스템
KR101275679B1 (ko) 배리어층, 성막 방법 및 처리 시스템
JP5683038B2 (ja) 成膜方法
KR20090009962A (ko) 성막 장치, 성막 방법, 컴퓨터 프로그램 및 기억 매체
CN101911266B (zh) 半导体装置的制造方法、半导体制造装置及存储介质
US8207061B2 (en) Semiconductor device manufacturing method using valve metal and nitride of valve metal
KR101361249B1 (ko) 성막 장치 및 성막 방법
US8008184B2 (en) Semiconductor device manufacturing method, semiconductor manufacturing apparatus and storage medium
JP5429078B2 (ja) 成膜方法及び処理システム
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US10490443B2 (en) Selective film forming method and method of manufacturing semiconductor device
KR101882991B1 (ko) 루테늄막의 성막 방법, 성막 장치 및 반도체 장치의 제조 방법
US8133811B2 (en) Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, computer program and storage medium
US20120211890A1 (en) Method for forming metal thin film, semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20181129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191202

Year of fee payment: 8