KR20150037837A - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR20150037837A
KR20150037837A KR1020157001172A KR20157001172A KR20150037837A KR 20150037837 A KR20150037837 A KR 20150037837A KR 1020157001172 A KR1020157001172 A KR 1020157001172A KR 20157001172 A KR20157001172 A KR 20157001172A KR 20150037837 A KR20150037837 A KR 20150037837A
Authority
KR
South Korea
Prior art keywords
film
forming
conductive film
metal oxide
concave portion
Prior art date
Application number
KR1020157001172A
Other languages
English (en)
Other versions
KR101692170B1 (ko
Inventor
겐지 마츠모토
다츠후미 하마다
가오루 마에카와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150037837A publication Critical patent/KR20150037837A/ko
Application granted granted Critical
Publication of KR101692170B1 publication Critical patent/KR101692170B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76823Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. transforming an insulating layer into a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 장치의 제조 방법은, 제1 도전막이 형성된 기판 위에 절연막을 형성하는 절연막 형성 공정과, 상기 절연막에 오목부를 형성하고, 오목부의 일부에 상기 제1 도전막을 노출시키는 오목부 형성 공정과, 상기 오목부 형성 공정 후, 상기 절연막과 상기 제1 도전막을 덮도록 금속 산화막을 형성하는 금속 산화막 형성 공정과, 상기 금속 산화막 형성 공정 후에, 상기 기판에 원자상 수소를 조사하는 수소 라디칼 처리 공정과, 상기 오목부의 내부에 제2 도전막을 형성하는 제2 도전막 형성 공정을 갖는다.

Description

반도체 장치의 제조 방법{METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}
본 발명은 반도체 장치의 제조 방법에 관한 것이다.
최근 들어, 소형이면서 고속이고 신뢰성이 있는 전자 기기를 만드는 것이 요구되고 있어, 반도체 장치(디바이스)의 고속화, 미세화, 고집적화를 위해, 다마신법에 의해 층간 절연막 내에 금속 배선을 매립한 다층 배선 구조가 채용되고 있다. 금속 배선의 재료로서는, 일반적으로는, 일렉트로 마이그레이션이 작고, 저항이 낮은 Cu(구리)가 사용되고 있다. 이러한 다층 배선 구조는, 이하의 수순으로 형성된다. 먼저, 층간 절연막 아래에 설치된 배선이 노출될 때까지 소정의 영역의 층간 절연막을 제거함으로써 트렌치 등의 오목부를 형성한다. 계속해서, 구리가 층간 절연막 등에 확산되는 것을 방지하기 위해서, 오목부 내에 배리어막을 형성한다. 그 후, 오목부 내의 배리어막 위에 구리 함유막을 매립한다.
그런데, 이 배리어막으로서는, Ta(탄탈륨), TaN(질화탄탈륨) 등이 사용되고 있는데, 최근 들어, 얇고 균일성이 높은 막이 얻어지는 MnOx(산화망간)막을 사용한 기술이 개시되어 있다. 이러한 MnOx막 위에 Cu로 이루어지는 매립 전극을 형성하는 방법이나, 또한, Cu와의 부착력을 높이기 위해서, MnOx막 위에, Cu와의 밀착성이 높은 Ru(루테늄)막을 형성하고, Ru막 위에 Cu를 포함하는 매립 전극을 형성하는 방법이 개시되어 있다(특허문헌 1 내지 3).
일본 특허 공개 제2008-300568호 공보 일본 특허 공개 제2010-21447호 공보 일본 특허 공개 제2009-16782호 공보 미국 공보 US2009/0263965A1호 국제 공개 제2012/060428호
그런데, ALD(Atomic Layer Deposition)법에 의해 MnOx막을 성막하는 경우에는, Mn 전구체와 H2O의 반응에 의해 MnOx막이 형성되기 때문에, MnOx막은, 오목부의 측면뿐만 아니라, Cu가 노출되어 있는 오목부의 저면에도 형성된다. 또한, 열CVD(Thermally Chemical Vapor Deposition)법이나 플라즈마 CVD(Plasma Enhanced Chemical Vapor Deposition)법에 의해 Mn막을 성막하는 경우에도, Cu 표면의 자연 산화막(CuOx)을 전부 제거하지 못하고 남아 있는 경우에는, 형성된 금속 Mn과 상기 CuOx의 반응에 의해, Cu가 노출되어 있는 오목부의 저면에도 MnOx막이 형성된다. 이렇게 형성된 MnOx막은, Cu 등의 금속에 비해 고저항이기 때문에, MnOx막 위에 Cu로 이루어지는 매립 전극을 형성해도, MnOx막을 개재해서는 충분한 도통을 얻을 수 없어, 도통 불량이 되는 문제점이 있었다.
본 발명은 상기를 감안하여 이루어진 것으로, 절연막에 트렌치 등의 오목부 등을 형성하고, 오목부 내에 MnOx막 등의 금속 산화막을 형성하고, 또한, 그 위에 Cu 등의 도전막이 형성되는 반도체 장치에 있어서, 충분한 도통을 얻을 수 있고, 원하는 특성이 얻어지는, 높은 수율의 반도체 장치의 제조 방법을 제공하는 것을 목적으로 한다.
하나의 형태에 의하면, 제1 도전막이 형성된 기판 위에 절연막을 형성하는 절연막 형성 공정과, 상기 절연막에 오목부를 형성하고, 상기 오목부의 일부에 상기 제1 도전막을 노출시키는 오목부 형성 공정과, 상기 오목부 형성 공정 후, 상기 절연막과 상기 제1 도전막을 덮도록 금속 산화막을 형성하는 금속 산화막 형성 공정과, 상기 금속 산화막 형성 공정 후에, 상기 기판에 원자 형상 수소를 조사하는 수소 라디칼 처리 공정과, 상기 오목부의 내부에 제2 도전막을 형성하는 제2 도전막 형성 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법이 제공된다.
다른 형태에 의하면, 제1 도전막이 형성된 기판 위에 절연막을 형성하는 절연막 형성 공정과, 상기 절연막에 오목부를 형성하고, 상기 오목부의 일부에 상기 제1 도전막을 노출시키는 오목부 형성 공정과, 상기 오목부 형성 공정 후, 상기 절연막과 상기 제1 도전막을 덮도록 금속 산화막을 형성하는 금속 산화막 형성 공정과, 상기 금속 산화막 형성 공정 후에, 상기 기판을 환원 분위기 또는 불활성 가스 분위기에서 가열하는 어닐 공정과, 상기 어닐 공정 후에, 상기 기판에 원자상 수소를 조사하는 수소 라디칼 처리 공정과, 상기 수소 라디칼 처리 공정 후에, 상기 오목부의 내부에 제2 도전막을 형성하는 제2 도전막 형성 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법이 제공된다.
다른 형태에 의하면, 제1 도전막이 형성된 기판 위에 절연막을 형성하는 절연막 형성 공정과, 상기 절연막에 오목부를 형성하고, 상기 오목부의 일부에 상기 제1 도전막을 노출시키는 오목부 형성 공정과, 상기 오목부 형성 공정 후, 상기 절연막과 상기 제1 도전막을 덮도록 금속 산화막을 형성하는 금속 산화막 형성 공정과, 상기 금속 산화막 형성 공정 후에, 상기 기판을 환원 분위기 또는 불활성 가스 분위기에서 가열하는 어닐 공정과, 상기 어닐 공정 후에, 상기 제1 도전막 위에 형성된 상기 금속 산화막을 제거하는 습식 에칭 공정과, 상기 습식 에칭 공정 후에, 상기 오목부의 내부에 제2 도전막을 형성하는 제2 도전막 형성 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법이 제공된다.
본 발명에서의 반도체 장치의 제조 방법에서는, 절연막에 트렌치 등의 오목부를 형성하고, 오목부 내에 MnOx막 등의 금속 산화막을 형성하고, 또한, 그 위에 Cu 등의 도전막이 형성되는 반도체 장치에 있어서, 충분한 도통을 얻을 수 있어, 원하는 특성이 얻어지고, 수율이 높고, 신뢰성을 향상시킬 수 있다.
도 1은 제1 실시 형태에서의 반도체 장치의 제조 장치의 구성도이다.
도 2는 제1 실시 형태에서의 다른 반도체 장치의 제조 장치의 구성도이다.
도 3은 제1 실시 형태에서의 반도체 장치의 제조 방법의 설명도이다.
도 4는 제1 실시 형태에서의 반도체 장치의 제조 방법의 공정도(1)이다.
도 5는 제1 실시 형태에서의 반도체 장치의 제조 방법의 공정도(2)이다.
도 6은 제1 실시 형태에서의 반도체 장치의 제조 방법의 공정도(3)이다.
도 7은 제2 실시 형태에서의 반도체 장치의 제조 방법의 설명도이다.
도 8은 제2 실시 형태에서의 반도체 장치의 제조 방법의 공정도(1)이다.
도 9는 제2 실시 형태에서의 반도체 장치의 제조 방법의 공정도(2)이다.
도 10은 제2 실시 형태에서의 반도체 장치의 제조 방법의 공정도(3)이다.
도 11은 제3 실시 형태에서의 반도체 장치의 제조 방법의 설명도이다.
도 12는 제3 실시 형태에서의 반도체 장치의 제조 방법의 공정도(1)이다.
도 13은 제3 실시 형태에서의 반도체 장치의 제조 방법의 공정도(2)이다.
도 14는 제3 실시 형태에서의 반도체 장치의 제조 방법의 공정도(3)이다.
도 15는 제4 실시 형태에서의 반도체 장치의 제조 방법의 설명도이다.
도 16은 제4 실시 형태에서의 반도체 장치의 제조 방법의 공정도(1)이다.
도 17은 제4 실시 형태에서의 반도체 장치의 제조 방법의 공정도(2)이다.
도 18은 제4 실시 형태에서의 반도체 장치의 제조 방법의 공정도(3)이다.
도 19는 습식 에칭의 공정을 설명하는 설명도(1)이다.
도 20은 습식 에칭의 공정을 설명하는 설명도(2)이다.
이하, 본 발명을 실시하기 위한 구체적인 내용에 대해여 도면을 참조하여 설명하는데, 본 발명은 다음의 실시 형태에 제한되지 않으며, 본 발명의 범위를 일탈하지 않고, 다음의 실시 형태에 다양한 변형 및 치환을 가할 수 있다.
또한, 동일한 부재 등에 대해서는, 동일한 부호를 부여하고 설명을 생략한다. 또한, 산화망간은, 가수에 따라 MnO, Mn3O4, Mn2O3, MnO2 등이 존재하는데, 언급이 없는 한, 이들은 모두 MnOx로 나타내는 것으로 한다. 또한, 산화망간을 MnOx로 나타내는 경우, x는 1 이상 2 이하의 값이다. Mn 실리케이트로서는, MnSiO3 이외에도 Mn2SiO4, Mn7SiO12가 존재하는데, 언급이 없는 한, 이들을 대표하여 MnSixOy로 나타내는 것으로 한다. Mn 실리케이트를 MnSixOy로 나타내는 경우, x와 y는 양의 수이다.
또한, 실시 형태에서는, 수소 라디칼 처리란, 리모트 플라즈마, 플라즈마, 가열 필라멘트 등에 의해 원자상 수소를 발생시키고, 발생시킨 원자상 수소를 기판 등의 소정의 면에 조사하는 처리를 의미한다.
또한, 본 실시 형태는, 어닐(열처리)에 의해 하지의 SiO2와 반응시킴으로써 실리케이트화시키는 공정에 대해, 그 대상이, Mn인 경우에는, O2 어닐에 의해 MnSiO3이 형성된다, MnO인 경우에는, 불활성 가스 어닐에 의해 MnSiO3이 형성된다, Mn3O4, Mn2O3, MnO2인 경우에는, 수소, CO, 아민 또는 그 유사물(NR1R2R3), 히드라진 또는 그 유사물(N2R4R5R6R7) 등의 환원 가스(여기서, R1 내지 R7은, 수소(H) 또는 탄화수소이다)를 사용한 환원 분위기 어닐에 의해 MnSiO3이 형성된다, 라는 지식에 기초하는 것이다.
[제1 실시 형태]
(반도체 장치의 제조 장치)
본 실시 형태에서의 반도체 장치의 제조 장치에 대하여 설명한다. 또한, 웨이퍼(W)란, 기판 또는 막이 성막된 기판을 의미한다. 도 1은, 본 실시 형태에서의 반도체 장치의 제조 장치인 처리 시스템을 나타낸다. 이 처리 시스템은, 4개의 처리 장치(111, 112, 113, 114)와, 대략 육각 형상의 공통 반송실(121)과, 로드 로크 기능을 갖는 제1 로드 로크실(122) 및 제2 로드 로크실(123)과, 가늘고 긴 도입측 반송실(124)을 갖는다. 4개의 처리 장치(111 내지 114)와 대략 육각 형상의 공통 반송실(121)의 사이에는 각각 게이트 밸브(G)가 설치되어 있다. 공통 반송실(121)과 제1 로드 로크실(122) 및 제2 로드 로크실(123)의 사이에도 각각 게이트 밸브(G)가 설치되어 있다. 제1 로드 로크실(122) 및 제2 로드 로크실(123)과 도입측 반송실(124)의 사이에도 각각 게이트 밸브(G)가 설치되어 있다. 각각의 게이트 밸브(G)는 개폐 가능하고, 게이트 밸브(G)가 개방됨으로써 장치간 등에서 웨이퍼(W)를 이동시킬 수 있다. 도입측 반송실(124)에는, 예를 들어, 3개의 도입 포트(125)가 개폐 도어(126)를 개재해서 접속되어 있고, 도입 포트(125)에는 복수의 웨이퍼(W)가 수납된 카세트 용기(127)가 수납되어 있다. 또한, 도입측 반송실(124)에는, 오리엔터(128)가 설치되어 있어, 웨이퍼(W)의 위치 결정 등이 이루어진다.
반송실(121)에는, 웨이퍼(W)를 반송하기 위해 굴신 가능한 픽업을 갖는 반송 기구(131)가 설치되어 있다. 또한, 도입측 반송실(124)에는, 웨이퍼(W)를 반송하기 위해 굴신 가능한 픽업을 갖는 도입측 반송 기구(132)가 설치되어 있다. 도입측 반송 기구(132)는, 도입측 반송실(124) 내에 설치된 안내 레일(133) 위를 슬라이드 이동 가능한 상태로 지지되어 있다.
웨이퍼(W)는, 예를 들어 실리콘 웨이퍼 등이며, 카세트 용기(127)에 수납되어 있다. 웨이퍼(W)는, 도입측 반송 기구(132)에 의해, 도입 포트(125)로부터 제1 로드 로크실(122) 또는 제2 로드 로크실(123)에 반송된다. 또한, 제1 로드 로크실(122) 또는 제2 로드 로크실(123)에 반송된 웨이퍼(W)는, 공통 반송실(121)에 설치된 반송 기구(131)에 의해, 4개의 처리 장치(111 내지 114)에 반송된다. 또한, 4개의 처리 장치(111 내지 114) 사이에서 웨이퍼(W)를 이동할 때에도 반송 기구(131)에 의해 웨이퍼(W)가 반송된다. 이렇게 처리 장치(111 내지 114) 사이를 이동함으로써 각각의 처리 장치(111 내지 114)에 있어서, 웨이퍼(W)에서의 처리가 행하여진다. 이러한 웨이퍼(W)의 반송 및 처리의 제어는, 시스템 제어부(134)(제어부)에서 행하여지고, 시스템 제어를 행하기 위한 프로그램 등은 기억 매체(136)에 기억되어 있다.
또한, 시스템 제어부(134)는, 임의의 컴퓨터의 CPU, 메모리, 메모리에 로드된 프로그램, 그 프로그램을 저장하는 하드 디스크 등의 기억 유닛, 네트워크 접속용 인터페이스를 중심으로 하드웨어와 소프트웨어의 임의의 조합에 의해 실현된다. 그리고, 그 실현 방법, 장치에는 다양한 변형예가 있음이, 당업자에게는 이해되는 바이다.
본 실시 형태에서, 4개의 처리 장치(111 내지 114) 중, 제1 처리 장치(111)는 MnOx막을 성막하기 위한 것이고, 성막 원료 가스를 처리 공간에 공급하는 가스 공급계를 구비하고 있다. 제2 처리 장치(112)는, 수소 라디칼 처리, 불활성 가스 어닐 처리 또는 환원 분위기 어닐 처리를 행하기 위한 것이며, 필요한 가스를 처리 공간에 공급하는 가스 공급계를 구비하고 있다. 제3 처리 장치(113)는, Ru막의 성막을 행하기 위한 것이고, 성막 원료 가스를 처리 공간에 공급하는 가스 공급계를 구비하고 있다. 제4 처리 장치(114)는, Cu막 등의 금속막의 성막을 행하기 위한 것이고, 성막 원료 가스를 처리 공간에 공급하는 가스 공급계를 구비하고 있다.
제2 처리 장치(112)에는, 원자상 수소를 발생시키기 위한 리모트 플라즈마 발생부(120)가 접속되어 있고, 수소를 이 리모트 플라즈마 발생부(120)에 통과시킴으로써 발생시킨 원자 형상 수소를 웨이퍼(W)에 조사함으로써 수소 라디칼 처리를 행할 수 있다. 또한, 제2 처리 장치(112)는, 원자상 수소를 발생시킬 수 있는 것이라면, 제2 처리 장치(112)의 내부에 플라즈마 발생부를 설치해도 되고, 또한, 가열 필라멘트를 설치하여 가열에 의해 원자상 수소를 발생시키는 구조의 것이어도 된다. 또한, 제2 처리 장치(112)에서는, 제2 처리 장치(112)의 챔버 내에, 수소를 공급하여 가열함으로써 환원 분위기 어닐 처리가 행하여진다. 또한, 제1 처리 장치(111)에서 MnOx막을 성막하기 전에, 제1 처리 장치(111) 등에서 웨이퍼(W)의 전처리(예를 들어 탈가스)를 행해도 된다. 또한, 산화 분위기 어닐 처리는, 예를 들어 제3 처리 장치(113)에서 행할 수 있다.
또한, 도 2에 도시한 바와 같이, 제1 처리 장치(111), 제2 처리 장치(112) 및 제3 처리 장치(113)에서 행하여지는 처리를 하나의 처리 장치(116)에서 행하는 것도 가능하다. 이 경우, 리모트 플라즈마 발생부(120)가 접속되어 있는 처리 장치(116)가 게이트 밸브(G)를 개재하여 공통 반송실(121)에 접속되어 있다. 또한, MnOx막 등의 성막 전에 웨이퍼(W)의 전처리를 행하는 경우에는, 도 2에 도시한 바와 같이, 웨이퍼(W)의 전처리(예를 들어 탈가스)를 행하는 처리 장치(117)를 설치해도 된다.
(반도체 장치의 제조 방법)
이어서, 도 3 및 도 4 내지 도 6에 기초하여 본 실시 형태에서의 반도체 장치의 제조 방법에 대하여 설명한다. 본 실시 형태에서의 반도체 장치의 제조 방법은, 다층 배선 구조를 갖는 반도체 장치의 제조 방법이며, 층간에서의 배선을 형성하는 것이기 때문에, 형성되어 있는 반도체 소자 및 반도체 소자의 형성 방법에 대해서는 생략되어 있다.
먼저, 스텝 102(S102)에서, 층간 절연막이 되는 절연막을 형성한다(절연막 형성 공정). 구체적으로는, 먼저, 도 4의 (a)에 도시한 바와 같이, 실리콘 기판 등의 기판(210) 위에 형성된 절연막(211)의 표면에 구리 등으로 이루어지는 제1 도전막(배선층)(212)이 형성된 구성을 준비한다. 이 구성은, 후술하는 제2 도전막(Cu막)(230)(및 Mn 실리케이트막(222b) 등)과 마찬가지의 수순으로 형성할 수 있다.
계속해서, 이 구성 위에 도 4의 (b)에 도시한 바와 같이, SiCN 등의 확산 방지막(213) 및 층간 절연막이 되는 SiO2 등으로 이루어지는 절연막(214)을 적층한다(절연막 형성 공정). 또한, 절연막(211) 및 절연막(214)은 산화 실리콘을 포함하는 TEOS나 Low-k에 의해 형성할 수 있다. 또한, 제1 도전막(212)은 기판(210)의 표면 등에 형성된 도시하지 않은 트랜지스터나 다른 배선과 접속되어 있다. 여기서, 확산 방지막(213)은 상술한 SiCN뿐만 아니라, SiC나 SiN이 주성분이어도 된다. 또한, 절연막(211) 및 절연막(214)은 상술한 TEOS뿐만 아니라, Low-k로서는 SiOC나 SiOCH가 주성분이어도 된다. 또한, 절연막(211)과 제1 도전막(212)의 사이에는, Cu 확산 배리어막이 형성되지만, 여기에서는 기재를 생략하고 있다.
이어서, 스텝 104(S104)에서, 절연막(214) 및 확산 방지막(213)에 오목부(215)(개구부)를 형성한다(오목부 형성 공정). 구체적으로는, 도 4의 (c)에 도시한 바와 같이, 절연막(214) 및 확산 방지막(213)의 소정의 영역을 제1 도전막(212)의 표면이 노출될 때까지 에칭 등에 의해 제거하여, 오목부(215)를 형성한다. 본 실시 형태에서는, 오목부(215)는 가늘고 길게 형성된 홈(트렌치)(215a)과, 이 홈(215a)의 저부의 일부에 형성된 비아 홀(215b)로 이루어지는 것이며, 비아 홀(215b)에서의 저부(215c)에서는 제1 도전막(212)이 노출되어 있다. 이러한 오목부(215)는 예를 들어, 절연막(214)의 표면에 포토레지스트를 도포하고, 노광 장치에 의한 노광, RIE(Reactive Ion Etching) 등에 의한 에칭의 공정을 반복함으로써 형성할 수 있다.
이어서, 스텝 106(S106)에서, 전처리로서 탈가스 처리나 세정 처리 등을 행한다. 이에 의해, 오목부(215)의 내부를 클리닝한다. 이러한 세정 처리로서는, H2 어닐 처리, H2 플라즈마 처리, Ar 플라즈마 처리, 유기산을 사용한 드라이 클리닝 처리 등을 들 수 있다.
또한, 가열에 의한 탈가스 처리는, N2, Ar, He 등의 불활성 가스 분위기 중에서, 웨이퍼 온도: 250 내지 400℃, 압력: 13 내지 2670Pa, 처리 시간: 30 내지 300초의 조건에서 행하여지고, 바람직하게는, 예를 들어, Ar 분위기 중에서, 웨이퍼 온도: 300℃, 압력: 1330Pa, 처리 시간: 120초의 조건에 따라 행하여진다.
또한, H2 어닐 처리에 의한 자연 산화구리의 제거는, H2 분위기(여기에, N2, Ar, He 등의 불활성 가스를 첨가해도 된다. 또한, H2 농도는, 1 내지 100vol%) 중에서, 웨이퍼 온도: 250 내지 400℃, 압력: 13 내지 2670Pa, 처리 시간: 30 내지 300초의 조건에서 행하여지고, 바람직하게는, 예를 들어, 포밍 가스(3% H2 + 97% Ar) 분위기, 웨이퍼 온도: 300℃, 압력: 1330Pa, 처리 시간 120초의 조건에 따라 행하여진다.
이어서, 스텝 108(S108)에서, 금속 산화막을 성막한다(금속 산화막 형성 공정). 본 실시 형태에서, 금속 산화막은, MnOx막 등의 Mn을 함유하는 막으로 할 수 있다. 금속 산화막은, ALD법에 의해 형성할 수 있다. 구체적으로는, 도 5의 (a)에 도시한 바와 같이, 기판(210)을 100 내지 250℃, 예를 들어, 130℃로 가열하고, (EtCp)2Mn 등의 Mn 전구체와 H2O를 교대로 공급함으로써 MnOx막(220)을 성막한다. 이에 의해, 비아 홀(215b)의 저부(215c) 및 오목부(215)의 측면(215d) 등에 MnOx막(220)이 형성된다. 본 실시 형태에서는, MnOx막(220) 중, 비아 홀(215b)의 저부(215c)에 형성되는 것을 MnOx막(221)으로 하고, 오목부(215)의 측면(215d) 등에 형성되는 것을 MnOx막(222)으로 하여 설명한다. 또한, MnOx막(220)은 절연막(214)의 상면에도 형성되는데, 이렇게 형성된 MnOx막(220)은 MnOx막(222)과 마찬가지로 변화하는 것으로 한다.
또한, 상술한 ALD법에 한정되지 않고, 열 CVD법이나 플라즈마 CVD법에 의해 MnOx막의 성막을 행해도 된다. 이 경우, 구체적으로는, 기판(210)을 150 내지 400℃, 예를 들어, 200℃로 가열하고, (EtCp)2의 Mn 전구체를 공급함으로써 MnOx막을 성막한다. 이에 의해, 오목부(215)의 측면(215d) 등에 MnOx막이 형성된다. 단, Cu 표면의 자연 산화막(CuOx)이 전부 제거되지 못하고 남아 있는 경우에는, Mn 전구체와 상기 CuOx의 반응에 의해, Cu가 노출되어 있는 오목부의 저면에는 MnOx막이 형성된다.
(EtCp)2Mn 이외의 Mn 전구체로서는, 이하의 것이 사용된다.
·화학식 Mn(RC5H4)2로 표현되는 비스(알킬시클로펜타디에닐)망간과 같은 시클로펜타디에닐계 망간 화합물.
·데카카르보닐2망간(Mn2(CO)10)이나 메틸시클로펜타디에닐트리카르보닐망간((CH3C5H4)Mn(CO)3)과 같은 카르보닐계 망간 화합물.
·비스(디피발로일메타나토)망간(Mn(C11H19O2)2)과 같은 베타디케톤계 망간 화합물.
·미국 공보 US2009/0263965A1호에 개시되어 있는 화학식 Mn(R1N-CR3-NR2)2로 표현되는 비스(N,N'-디알킬아세트아미디네이트)망간과 같은 아미디네이트계 망간 화합물.
·국제 공개 제2012/060428호에 개시되어 있는 화학식 Mn(R1N-Z-NR2 2)2로 표현되는 비스(N,N'-1-알킬아미드-2-디알킬아미노알칸)망간과 같은 아미드아미노알칸계 망간 화합물.
여기서, 상기 R, R1, R2, R3은 -CnH2n +1(n은 0 이상의 정수)로 기술되는 알킬기이며, 상기 Z는 -CnH2n-(n은 0 이상의 정수)으로 기술되는 알킬렌기 등을 들 수 있다. 이들 중에서는, 실온에서 액체이며, 버블링 공급에 충분한 증기압을 갖고, 열 안정성이 높은 점에서, (EtCp)2Mn[=Mn(C2H5C5H4)2]를 사용하는 것이 바람직하다.
또한, H2O 이외의 반응 가스로서는, 산소 함유 가스를 들 수 있고, 예를 들어, N2O, NO2, NO, O2, O3, H2O2, CO, CO2, 알코올, 알데히드, 카르복실산, 무수 카르복실산, 에스테르, 유기산 암모늄염, 유기산 아민염, 유기산 아미드, 유기산 히드라지드를 사용할 수 있다. 또한, 이들 복수의 산소 함유 가스를 조합하여 사용해도 된다. 또한, 상온에서 액체인 것은, 가열 기화시키거나 하여, 기체 또는 증기의 상태에서 처리 챔버 내에 공급하는 것에 유의한다.
또한, 본 실시 형태에서는, 산화막으로서 MnOx막(220)을 사용한 경우에 대하여 설명하는데, 이 산화막은 다른 금속 산화물에 의해 형성해도 되고, 보다 바람직하게는, Mg, Al, Ca, Ti, V, Cr, Mn, Fe, Co, Ni, Ge, Sr, Y, Zr, Nb, Mo, Rh, Pd, Sn, Ba, Hf, Ta 및 Ir 중에서 선택되는 1 또는 2 이상의 원소의 산화물을 포함함으로써 형성해도 된다. 이들 중, 실리케이트를 형성할 수 있는 것, Cu에 대하여 고용할 수 있는 것, Cu에 대한 확산 계수가 큰 것(Cu 중에서의 확산 속도가 빠른 것), 산화력이 없는(약한) 산에도 용해할 수 있는 것과 같은 관점에서, Mn이 가장 바람직하다.
이어서, 스텝 110(S110)에서, 수소 라디칼 처리를 행한다(수소 라디칼 처리 공정). 구체적으로는, 리모트 플라즈마, 플라즈마, 가열 필라멘트 등에 의해 원자상 수소를 발생시키고, MnOx막(220)의 표면에, 발생시킨 원자상 수소를 조사한다. 본 실시 형태에서는, 도 1 및 도 2 등에 나타내는 리모트 플라즈마 발생부(120)에서 발생한 리모트 플라즈마에 의해 원자상 수소를 발생시키고, 발생시킨 원자상 수소를 기판(210)에서 MnOx(220)가 성막되어 있는 면에 조사한다. 이때, 가열 처리를 함께 행하는 것이 바람직하고, 예를 들어, 기판(210)을 300℃로 가열한다. 구체적으로, 본 실시 형태에서는, 수소 라디칼 처리는, H2: 10%와 Ar: 90%의 가스 분위기에서, 처리 압력 40Pa, 투입 파워 2.5kW, 기판 가열 온도 300℃에서 60초간 행한다.
이에 의해, 도 5의 (b)에 도시된 바와 같이, MnOx막(220) 중, 오목부(215)의 측면(215d) 등에 형성되어 있던 MnOx막(222)은, 환원되어서 Mn막(222a)이 된다. 또한, 비아 홀(215b)의 저부(215c)에 형성되어 있던 MnOx막(221)은 환원되고, 이 환원된 Mn이, 구리 등으로 이루어지는 제1 도전막(212)에 확산하기 때문에, MnOx막(221)이 소실된다. 따라서, 비아 홀(215b)의 저부(215c)에서는, 구리 등으로 이루어지는 제1 도전막(212)이 노출된다.
또한, 본 실시 형태에서의 수소 라디칼 처리는, 기판(210)의 가열 온도는, 실온 내지 450℃가 바람직하고, 보다 바람직하게는 200℃ 내지 400℃이며, 또한 300℃ 정도가 바람직하다. 또한, 가스 분위기는, Ar 중의 H2 농도가 1 내지 20%인 것이 바람직하고, 보다 바람직하게는 3 내지 15%이며, 또한 H2: 10%와 Ar: 90%인 것이 바람직하다. 또한, 처리 압력은, 10 내지 500Pa이 바람직하고, 보다 바람직하게는 20 내지 100Pa이며, 또한 40Pa이 바람직하다. 또한, 투입 파워는, 1 내지 5kW가 바람직하고, 보다 바람직하게는 1.5 내지 3kW이며, 또한 2.5kW가 바람직하다. 또한, 처리 시간은, 5 내지 300초가 바람직하고, 보다 바람직하게는 10 내지 100초이며, 또한 60초가 바람직하다. 또한, 스텝 108에서의 MnOx막(220)과 스텝 110의 수소 라디칼 처리의 사이에서, 탈가스 공정(열처리 공정)을 행해도 된다.
이어서, 스텝 112(S112)에서, 산화 분위기에서 어닐 처리를 행한다(어닐 공정). 구체적으로는, 본 실시 형태에서는, 헬륨(He), 아르곤(Ar), 네온(Ne), 질소(N2) 등의 불활성 가스에 대하여 미량의 산소 함유 가스를 첨가한 분위기 중, 예를 들어, Ar에 대하여 10ppb 내지 3vol% 정도의 O2를 첨가한 가스 분위기에서, 처리 압력 13 내지 2670Pa의 조건에서, 30 내지 1800초간, 기판 가열 온도 200 내지 500℃, 보다 바람직하게는 250 내지 350℃가 되는 어닐을 행한다. 여기서, O2 이외의 산소 함유 가스로서는, 예를 들어, H2O, N2O, NO2, NO, O3, H2O2, CO, CO2를 사용할 수 있다.
또한, 웨이퍼를 가열함으로써 절연막(214) 등으로부터 H2O 등의 산소 함유 가스가 탈가스하는 경우에는, 웨이퍼의 외부로부터 산소 함유 가스를 공급하지 않고 어닐해도, 외부로부터 산소 함유 가스를 공급하여 어닐하는 경우와 마찬가지의 효과를 얻을 수 있다. 웨이퍼를 가열함으로써 절연막 등으로부터 산소 함유 가스가 탈가스하는 경우에는 불활성 가스를 공급하면서 어닐해도 된다. 바꾸어 말하면, 산소 함유 가스를 처리 장치의 가스 공급계로부터 웨이퍼 처리 공간에 공급할 수 있고, 하지에 포함되는 성분을 탈가스시켜서 산소 함유 가스로서 이용할 수도 있다.
또한, 상기의 설명에서는, 스텝 110에서의 수소 라디칼 처리에 의해, MnOx막(220) 중, 오목부(215)의 측면(215d) 등에 형성되어 있던 MnOx막(222)은 모두 환원되어서 Mn막(222a)으로 된다고 하고 있었다. 그러나, 수소 라디칼 처리의 조건이나 MnOx막(222)의 막 두께, 막질에 따라서는, MnOx막(222)의 모두가 환원되어서 Mn막(222a)으로는 되지 않는 경우가 있다. 예를 들어, MnOx막(222) 중, 노출되어 있어서 절연막(214)에 접촉하지 않은 상층측만이, 수소 라디칼 처리에 의해 환원되어서 Mn막(222a)이 되는 한편, 절연막(214)에 접촉하고 있는 하층측은 수소 라디칼의 환원 작용이 미치지 않아, 수소 라디칼 처리 시의 열에 의해, 절연막(214)에서의 산화 실리콘과 반응하여, Mn 실리케이트(MnSixOy)막(222b)을 형성하는 것을 생각할 수 있다. 이러한 경우에는, 이미 Mn 실리케이트의 형성이 완료되어 있어, 최종적인 구조로서는, 절연막(214)과 제2 도전막(230)의 사이에, Mn 실리케이트막(222b)이 형성되게 되므로, 스텝 112(S112)의 산화 분위기 어닐 처리를 생략하는 것이 가능하다.
이에 의해, 도 5의 (c)에 도시된 바와 같이, 오목부(215)의 측면(215d) 등에 형성되어 있던 환원된 Mn막(222a)은, 오목부(215)의 측면(215d) 등을 형성하고 있는 절연막(214)에서의 산화 실리콘과 반응하여, Mn 실리케이트(MnSixOy)막(222b)이 형성된다.
또한, Mn막(222a)이, 실리케이트화되어 Mn 실리케이트막(222b)으로 되는 반응에 대해서, 하기에 기초하여 보다 상세하게 설명한다. 구체적으로는, 웨이퍼(W)를 산화 분위기 중에서 어닐함으로써, Mn막(222a)이, 하지에 포함되는 SiO2 성분과 반응하여 실리케이트화되어, Mn 실리케이트층(222b)으로 되는 메커니즘에 대해 화학 반응식을 참조하면서 설명한다.
금속 망간(Mn)과 이산화실리콘(SiO2)의 화학 반응식을 하기에 나타내었다. 또한, 각각의 화학 반응식은, 300K에서의 평형 상태를 나타내고 있다. 또한, 우변의 열량은, 망간(Mn) 1mol당의 열량(kJ)이며, 깁스의 자유 에너지 변화량(이하, Gr 변화량(ΔGr)이라고 기재함)을 나타내고 있다. 여기서, 깁스의 자유 에너지는 자발적으로 감소하려고 한다. 이 때문에, Gr 변화량이 음인 화학 반응은 자발적으로 일어나고, Gr 변화량이 양인 화학 반응은 자발적으로 일어나지 않는 것으로 알려져 있다. 또한, 이하의 열역학 계산에서는, 시판하고 있는 열역학 데이터베이스를 사용하였다.
(A) Mn+SiO2→MnSiO3
상기의 (A)에 나타내는 화학 반응식에서는, 좌변과 우변의 산소량이 균형잡히지 않아 반응식으로서 성립되지 않는다. 따라서, 좌변에서 우변으로의 반응을 진행할 수 없는 것, 즉, 실리케이트화될 가능성이 없음을 알 수 있다. 이것으로부터, Mn에 대해서는, 단순한 열처리만으로는 실리케이트화가 일어나지 않기 때문에, Mn으로서 잔존한다.
이어서, 산소(O2)를 도입한 경우에 있어서의 Mn과 SiO2의 화학 반응식을 나타낸다.
(B) 2Mn+2SiO2+O2→2MnSiO3-380(ΔGr(kJ/Mn-mol))
상기의 (B)에 나타내는 화학 반응식으로부터, Mn의 경우, 산소가 공급됨으로써 좌변에서 우변으로의 반응을 진행할 수 있는 것, 즉, 실리케이트화될 가능성이 있다. 이것으로부터, 산소의 도입에 의해, Mn이 실리케이트화되어서 MnSixOy로 될 수 있다. 또한, 상기의 실리케이트화 반응은, O2 이외에, H2O나 CO2로도 반응을 진행할 수 있음을 열역학 계산으로 확인하고 있다.
이어서, 스텝 114(S114)에서, 제2 도전막(230)의 성막을 행한다(제2 도전막 형성 공정). 제2 도전막은 대표적으로는 Cu 등의 금속막이다. 구체적으로는, 도 6에 도시한 바와 같이, CVD법, ALD법, PVD법, 전해 도금법, 무전해 도금법, 초임계 CO2법 중 어느 한 방법에 의해 Cu 등의 제2 도전막(230)을 형성한다. 또한, 제2 도전막(230)을 형성하는 방법은 상기의 방법을 조합한 것이어도 된다. 본 실시 형태에서는, 최초에 스퍼터링에 의해 얇은 Cu막(시드 Cu막)을 성막한 후, 전해 도금에 의해 Cu를 퇴적시킴으로써 Cu에 의해 제2 도전막(230)을 형성하고 있다.
이 후, 필요에 따라 CMP(Chemical Mechanical Polishing) 등에 의해 평탄화를 행하여, 오목부(215)로부터 노출되어 있는 제2 도전막(230) 및 Mn 실리케이트막(222b)을 제거한다. 이상의 공정을 반복함으로써 원하는 다층 배선을 형성할 수 있고, 다층 배선 구조를 갖는 반도체 장치를 제조할 수 있다.
또한, 상기에서, 스텝 108에서의 MnOx막(220)의 성막, 스텝 110에서의 수소 라디칼 처리, 스텝 112에서의 산화 분위기 어닐 처리는, 동일한 챔버(처리 장치)에서 행해도 되고, 또한, 각각 다른 챔버(처리 장치)에 의해 행해도 된다. 또한, 안전상의 관점에서는, 스텝 110에서의 수소 라디칼 처리와 스텝 112에서의 산화 분위기 어닐 처리는, 다른 챔버(처리 장치)에 의해 행하는 것이 더 바람직하다. 스텝 110에서의 수소 라디칼 처리와 스텝 112에서의 산화 분위기 어닐 처리를, 동일한 챔버(처리 장치)에 의해 행하는 경우에는, 산화 분위기 어닐 처리에서 사용하는 산소 함유 가스로서, 수소와의 반응성을 고려하여 H2O나 CO2를 사용하는 것이 바람직하다. 산소 함유 가스의 공급 방법으로서는, 산소 함유 가스를 처리 장치의 가스 공급계로부터 웨이퍼 처리 공간에 공급할 수 있고, 하지에 포함되는 성분을 탈가스시켜서 산소 함유 가스로서 이용할 수도 있다.
본 실시 형태에서의 제조 방법에 의하면, 절연막(214)과 제2 도전막(230)의 사이에, Mn 실리케이트막(222b)이 형성되기 때문에, 제2 도전막(230)에 포함되는 Cu 등이 절연막(214) 내에 확산되는 것을 방지할 수 있음과 함께, 절연막(214)에 포함되는 O2나 H2O가 제2 도전막(230) 내에 확산되는 것을 방지할 수 있다. 또한, 제2 도전막(230)은, 제1 도전막(212)을 형성하고 있는 구리 등과 직접 접촉하고 있기 때문에, 충분한 도통을 얻을 수 있어, 도통 불량의 발생을 억제할 수 있다. 이에 의해, Cu 다층 배선의 미세화가 가능하게 되고, 반도체 장치(디바이스)의 고속화, 미세화 등에 의해, 소형이면서 고속이고 신뢰성이 있는 전자 기기를 얻는 것이 가능하게 된다.
[제2 실시 형태]
이어서, 도 7 및 도 8 내지 도 10에 기초하여 제2 실시 형태에 대해 설명한다. 본 실시 형태에서의 반도체 장치의 제조 방법은, 다층 배선 구조를 갖는 반도체 장치의 제조 방법이며, 층간에서의 배선을 형성하는 것이기 때문에, 형성되어 있는 반도체 소자 및 반도체 소자의 형성 방법에 대해서는 생략되어 있다. 또한, 본 실시 형태는, 제1 실시 형태에서의 반도체 장치의 제조 장치를 사용할 수 있다.
본 실시 형태에서, 산화 분위기 어닐 대신에, 수소, CO, 아민 또는 그 유사물(NR1R2R3), 히드라진 또는 그 유사물(N2R4R5R6R7) 등의 환원 가스(여기서, R1 내지 R7은, 수소(H) 또는 탄화수소이다)를 사용한 환원 분위기 어닐 또는 불활성 가스를 사용한 불활성 가스 어닐에 의해 MnSiO3을 형성하는 점에서, 제1 실시 형태와 상이하다.
먼저, 제1 실시 형태에서의 스텝 102 내지 108(도 3)과 마찬가지의 처리 수순(스텝 202 내지 208)에 의해, 도 9의 (a)에 나타낸 구성을 준비한다. 각종 재료에 대해서는, 제1 실시 형태에서 설명한 것과 마찬가지로 할 수 있다.
이어서, 스텝 210(S210)에서, 불활성 가스 또는 환원 가스를 사용한 어닐 처리를 행한다(어닐 공정). 구체적으로는, 본 실시 형태에서는, 헬륨(He), 아르곤(Ar), 네온(Ne), 질소(N2) 등의 불활성 가스에 수소, CO, 아민 또는 그 유사물(NR1R2R3), 히드라진 또는 그 유사물(N2R4R5R6R7) 등의 환원 가스를 첨가한 분위기 중에서 환원 분위기 어닐 처리 공정을 행한다. 여기서, R1 내지 R7은, 수소(H) 또는 탄화수소이다. 아민(NH3)의 유사물로서는, 예를 들어, 메틸아민(CH3NH2), 에틸아민(C2H5NH2), 디메틸아민((CH3)2NH), 트리메틸아민((CH3)3N) 등을 들 수 있다. 히드라진(N2H4)의 유사물로서는, 예를 들어, 메틸히드라진(CH3NNH3), 디메틸히드라진((CH3)2NNH2), 트리메틸히드라진((CH3)3NNH) 등을 들 수 있다.
예를 들어, 환원 가스로서 수소를 사용한 경우, H2: 3%와 Ar: 97%의 가스 분위기에서, 처리 압력 13 내지 2670Pa의 조건에서, 30 내지 1800초간, 기판 가열 온도 200 내지 450℃, 보다 바람직하게는 250 내지 350℃가 되는 어닐을 행한다.
또한, 도면에서는, 편의상, 환원 분위기 어닐 처리 공정에 대하여 기재하고 있지만, MnOx가 MnO만으로 이루어지는 경우에만, 이 환원 분위기 어닐 처리 공정 대신에, 불활성 가스 어닐 처리 공정을 행해도 된다.
이에 의해, 도 9의 (b)에 도시된 바와 같이, 오목부(215)의 측면(215d) 등에 형성되어 있던 MnOx막(222)은, 오목부(215)의 측면(215d) 등을 형성하고 있는 절연막(214)에서의 산화 실리콘과 반응하여, Mn 실리케이트(MnSixOy)막(222b)이 형성된다. 또한, 비아 홀(215b)의 저부(215c)에 형성되는 MnOx막(221)은, Cu 등의 제1 도전막(212) 위에 형성되어 있기 때문에, 실리케이트화되지 않고, MnOx막(221)인 상태에서 변화하지 않는다. 또한, 확산 방지막(213) 위에 형성되어 있던 MnOx막(222)은 거의 실리케이트화되지 않고 MnOx인 상태 그대로인데, 확산 방지막(213)은 SiCN 등으로 이루어져, 확산 방지 기능을 갖고 있으므로 실리케이트화되지 않아도 문제가 되지는 않는다.
이어서, MnOx막(222)이 실리케이트화되어 Mn 실리케이트막(222b)으로 되는 반응에 대해, 하기에 기초하여 보다 상세하게 설명한다. 구체적으로는, 환원 분위기 하에서 어닐함으로써, MnOx막(222)이, 하지에 포함되는 SiO2 성분과 반응하여 실리케이트화되어, Mn 실리케이트층(222b)으로 되는 메커니즘에 대해 화학 반응식을 참조하면서 설명한다.
산화망간(MnO와 Mn2O3)과 이산화실리콘(SiO2)의 화학 반응식을 하기에 나타내었다. 또한, 각각의 화학 반응식은, 300K에서의 평형 상태를 나타내고 있다. 또한, 우변의 열량은, 망간(Mn) 1mol당의 열량(kJ)이며, 깁스의 자유 에너지 변화량(이하, Gr 변화량(ΔGr)이라고 기재함)을 유효 숫자 두 자리로 나타내고 있다. 여기서, 깁스의 자유 에너지는 자발적으로 감소하려고 한다. 이 때문에, Gr 변화량이 음인 화학 반응은 자발적으로 일어나고, Gr 변화량이 양인 화학 반응은 자발적으로 일어나지 않는 것으로 알려져 있다. 또한, 이하의 열역학 계산에서는, 시판하고 있는 열역학 데이터베이스를 사용하였다.
(1) MnO+SiO2→MnSiO3-21(ΔGr(kJ/Mn-mol))
(2) 2Mn2O3+4SiO2→4MnSiO3+O2+57(ΔGr(kJ/Mn-mol))
(3) 2Mn2O3+2SiO2→2Mn2SiO4+O2+53(ΔGr(kJ/Mn-mol))
상기에서의 (1)에 나타내는 화학 반응식으로부터, MnO인 경우, 좌변에서 우변으로의 반응을 진행할 수 있는 것, 즉, 실리케이트화될 가능성이 있다. 또한, 상기에서의 (2), (3)에 나타내는 화학 반응식으로부터, 좌변에서 우변으로의 반응을 진행할 수 없는 것, 즉, 실리케이트화될 가능성이 없음을 알 수 있다. 이것으로부터, Mn2O3에 대해서는, 단순한 열처리만으로는 실리케이트화가 일어나지 않기 때문에, Mn2O3으로서 잔존한다.
이어서, 수소(H)를 도입한 경우에 있어서의 Mn2O3과 SiO2의 화학 반응식을 나타낸다.
(4) Mn2O3+2SiO2+H2→2MnSiO3+H2O-58(ΔGr(kJ/Mn-mol))
(5) Mn2O3+SiO2+H2→Mn2SiO4+H2O-62(ΔGr(kJ/Mn-mol))
상기에서의 (4), (5)에 나타내는 화학 반응식으로부터, 수소(H)를 도입한 경우, Mn2O3이어도, 좌변에서 우변으로의 반응을 진행할 수 있는 것, 즉, 실리케이트화될 가능성이 있다. 이것으로부터, 수소의 도입에 의해, Mn2O3이, 실리케이트화되어 MnSixOy로 될 수 있다.
이어서, Mn2O3의 화학 반응식을 나타낸다.
(6) 2Mn2O3→4MnO+O2+78(ΔGr(kJ/Mn-mol))
(7) Mn2O3+H2→2MnO+H2O-37(ΔGr(kJ/Mn-mol))
상기에서의 (6)에 나타내는 화학 반응식으로부터, 수소를 도입하지 않은 경우에는, Mn2O3은 MnO가 될 수는 없다. 또한, 상기에서의 (2), (3)에 나타내는 화학 반응식으로부터, Mn2O3은 수소 없이는 실리케이트화될 수 없으므로, 수소를 도입하지 않은 경우, Mn2O3이, 실리케이트화되어 Mn 실리케이트(MnSixOy)로 될 가능성은 없다.
이에 반해, 상기에서의 (7)에 나타내는 화학 반응식으로부터, 수소를 도입함으로써, Mn2O3은 MnO로 될 수 있다. 또한, 상기에서의 (1)에 나타내는 화학 반응식으로부터, MnO는, 실리케이트화되어 Mn 실리케이트(MnSixOy)로 될 수 있으므로, 수소를 도입함으로써, Mn2O3이, 실리케이트화되어 Mn 실리케이트(MnSixOy)로 될 수 있다.
계속해서, 환원 가스로서 CO를 도입한 경우의 화학 반응식을 나타낸다.
(a1) Mn2O3+CO→2MnO+CO2-51(ΔGr(kJ/Mn-mol))
또한, 상기 식 (1)에 나타낸 바와 같이, MnO는 어닐에 의해 실리케이트화 가능하다.
(a2) Mn2O3+2SiO2+CO→2MnSiO3+CO2-72(ΔGr(kJ/Mn-mol))
(a3) Mn2O3+SiO2+CO→Mn2SiO4+CO2-76(ΔGr(kJ/Mn-mol))
계속해서, 환원 가스로서 NH3을 도입한 경우의 화학 반응식을 나타낸다.
(b1) Mn2O3+0.5NH3→2MnO+0.25N2O+0.75H2O+9.0(ΔGr(kJ/Mn-mol))
여기서, 500K 이상이면, ΔGr은 마이너스가 된다. 또한, 상기 식 (1)에 나타낸 바와 같이, MnO는 어닐에 의해 실리케이트화 가능하다.
(b2) Mn2O3+2SiO2+0.5NH3→2MnSiO3+0.25N2O+0.75H2O-12(ΔGr(kJ/Mn-mol))
(b3) Mn2O3+SiO2+0.5NH3→Mn2SiO4+0.25N2O+0.75H2O-16(ΔGr(kJ/Mn-mol))
계속해서, 환원 가스로서 N2H4를 도입한 경우의 화학 반응식을 나타낸다.
(c1) Mn2O3+2SiO2+0.33N2H4→2MnSiO3+0.33N2O+0.67H2O-29(ΔGr(kJ/Mn-mol))
(c2) Mn2O3+SiO2+0.33N2H4→Mn2SiO4+0.33N2O+0.67H2O-33(ΔGr(kJ/Mn-mol))
이와 같이, 상기에서의 (a2), (a3), (b2), (b3), (c1), (c2)에 나타내는 화학 반응식으로부터, 환원 가스로서 CO 또는 NH3, N2H4를 도입한 경우에도, Mn2O3이 실리케이트화되어 Mn 실리케이트(MnSixOy)로 될 수 있음을 알 수 있다.
이어서, 스텝 212(S212)에서, 수소 라디칼 처리를 행한다(수소 라디칼 처리 공정). 수소 라디칼 처리의 수순은 제1 실시 형태와 마찬가지이므로, 상세한 설명을 생략한다.
이에 의해, 도 9의 (c)에 도시된 바와 같이, 비아 홀(215b)의 저부(215c)에 형성되어 있던 MnOx막(221)은 환원되고, 이 환원된 Mn이, 구리 등으로 이루어지는 제1 도전막(212)에 확산되기 때문에, MnOx막(221)이 소실된다. 따라서, 비아 홀(215b)의 저부(215c)에서는, 구리 등으로 이루어지는 제1 도전막(212)이 노출된다. 이때, 오목부(215)의 측면(215d) 등에 형성되어 있는 Mn 실리케이트막(222b)은 물질로서 비교적 안정하기 때문에, 거의 변화하지 않는 것으로 생각된다.
이어서, 스텝 214(S214)에서, 도 10에 도시한 바와 같이, Cu 등의 제2 도전막(230)의 성막을 행한다(제2 도전막 형성 공정). 제2 도전막의 성막 수순은 제1 실시 형태와 마찬가지이므로, 상세한 설명을 생략한다.
이 후, 필요에 따라 CMP 등에 의해 평탄화를 행하여, 오목부(215)로부터 노출되어 있는 제2 도전막(230) 및 Mn 실리케이트막(222b)을 제거한다. 이상의 공정을 반복함으로써 원하는 다층 배선을 형성할 수 있고, 다층 배선 구조를 갖는 반도체 장치를 제조할 수 있다.
또한, 상기에서, 스텝 208에서의 MnOx막(220)의 성막, 스텝 210에서의 불활성 가스 어닐 처리 또는 환원 분위기 어닐 처리, 스텝 212에서의 수소 라디칼 처리는, 동일한 챔버(처리 장치)에서 행해도 되고, 또한, 각각 다른 챔버(처리 장치)에 의해 행해도 된다. 또한, 스텝 208에서, 수소를 혼입시킨 상태에서 성막함으로써, 스텝 208에서의 성막 공정과 스텝 210에서의 어닐 처리 공정을 동시에 행하는 것도 가능하다.
본 실시 형태에서의 제조 방법에 의하면, 절연막(214)과 제2 도전막(230)의 사이에, Mn 실리케이트막(222b)이 형성되기 때문에, 제2 도전막(230)에 포함되는 Cu 등이 절연막(214) 내에 확산되는 것을 방지할 수 있음과 함께, 절연막(214)에 포함되는 O2나 H2O가 제2 도전막(230) 내에 확산되는 것을 방지할 수 있다. 또한, 제2 도전막(230)은 제1 도전막(212)을 형성하고 있는 구리 등과 직접 접촉하고 있기 때문에, 충분한 도통을 얻을 수 있어, 도통 불량의 발생을 억제할 수 있다. 이에 의해, Cu 다층 배선의 미세화가 가능하게 되고, 반도체 장치(디바이스)의 고속화, 미세화 등에 의해, 소형이면서 고속이고 신뢰성이 있는 전자 기기를 얻는 것이 가능하게 된다. 또한, 상기 이외의 내용에 대해서는, 제1 실시 형태와 마찬가지이다.
[제3 실시 형태]
본 실시 형태에서, Mn 실리케이트(MnSixOy)막(222b)과 제2 도전막(230)의 사이에, 이들의 밀착성을 양호하게 하기 위한 밀착층으로서 기능하는 제3 도전막(Ru막)을 형성하는 점에서, 제1 실시 형태와 상이하다. Ru(002)의 격자 상수는 2.14옹스트롬이며, Cu(111)의 격자 상수는 2.09옹스트롬이다. Ru는 Cu와의 격자 상수가 가깝고 서로의 습윤성이 양호하므로, 높은 밀착성과, 오목부(215)에 대한 Cu 등의 제2 도전막(230)의 양호한 매립성을 기대할 수 있다.
이어서, 도 11 및 도 12 내지 도 14에 기초하여 제3 실시 형태에 대해 설명한다. 본 실시 형태에서의 반도체 장치의 제조 방법은, 다층 배선 구조를 갖는 반도체 장치의 제조 방법이며, 층간에서의 배선을 형성하는 것이기 때문에, 형성되어 있는 반도체 소자 및 반도체 소자의 형성 방법에 대해서는 생략되어 있다. 또한, 본 실시 형태는, 제1 실시 형태에서의 반도체 장치의 제조 장치를 사용할 수 있다.
먼저, 제1 실시 형태에서의 스텝 102 내지 110(도 3)과 마찬가지의 처리 수순(스텝 302 내지 310)에 의해, 도 13의 (b)에 나타낸 구성을 준비한다. 각종 재료에 대해서는, 제1 실시 형태에서 설명한 것과 마찬가지로 할 수 있다.
이어서, 스텝 312(S312)에서, 제3 도전막(Ru막)(240)의 성막을 행한다(제3 도전막 형성 공정). 구체적으로는, 도 13의 (c)에 도시한 바와 같이, Ru를 포함하는 유기 금속 원료(예를 들어, Ru 카르보닐 등)를 사용해서 기판(210)을 약 200℃로 가열하여 CVD에 의해 제3 도전막(240)을 성막한다. 제3 도전막(240)은, 금속 재료이며, 비아 홀(215b)의 저면(215c)을 포함하는 오목부(215)의 내면에 성막된다. 즉, 제3 도전막(240)은, 오목부(215)에서 노출되어 있는 제1 도전막(212) 및 Mn막(222a)의 표면에 성막된다. 비아 홀(215b)의 저면(215c)에서는, 상술한 바와 같이 노출되어 있는 제1 도전막(212)의 표면에는 Mn막(222a)이 성막되어 있지 않기 때문에, 제1 도전막(212)을 형성하고 있는 구리 등의 표면에 제3 도전막(240)이 성막된다.
이어서, 스텝 314(S314)에서, 산화 분위기에서 어닐 처리를 행한다(어닐 공정). 구체적으로는, 본 실시 형태에서는, 헬륨(He), 아르곤(Ar), 네온(Ne), 질소(N2) 등의 불활성 가스에 대하여 미량의 산소 함유 가스를 첨가한 분위기 중, 예를 들어, Ar에 대하여 10ppb 내지 3vol% 정도의 O2를 첨가한 가스 분위기에서, 처리 압력 13 내지 2670Pa의 조건에서, 30 내지 1800초간, 기판 가열 온도 200 내지 500℃, 보다 바람직하게는 250 내지 350℃가 되는 어닐을 행한다.
여기서, O2 이외의 산소 함유 가스로서는, 예를 들어, H2O, N2O, NO2, NO, O3, H2O2, CO, CO2를 사용할 수 있다.
또한, 웨이퍼를 가열함으로써 절연막(214) 등으로부터 H2O 등의 산소 함유 가스가 탈가스하는 경우에는, 웨이퍼의 외부로부터 산소 함유 가스를 공급하지 않고 어닐해도, 외부로부터 산소 함유 가스를 공급하여 어닐하는 경우와 마찬가지의 효과를 얻을 수 있다. 웨이퍼를 가열함으로써 절연막 등으로부터 산소 함유 가스가 탈가스하는 경우에는, 불활성 가스를 공급하면서 어닐해도 된다. 바꾸어 말하면, 산소 함유 가스를 처리 장치의 가스 공급계로부터 웨이퍼 처리 공간에 공급할 수 있고, 하지에 포함되는 성분을 탈가스시켜서 산소 함유 가스로서 이용할 수도 있다.
이에 의해, 도 14의 (a)에 도시된 바와 같이, 오목부(215)의 측면(215d) 등에 형성되어 있는 환원된 Mn막(222a)은, 오목부(215)의 측면(215d) 등을 형성하고 있는 절연막(214)에서의 산화 실리콘과 반응하여, Mn 실리케이트(MnSixOy)막(222b)이 형성된다.
또한, 본 실시 형태에서는, 스텝 310의 수소 라디칼 처리와 스텝 312의 제3 도전막(240)의 성막의 사이는, 소정의 진공도 또는 소정의 산소 분압으로 유지되어 있는 것이 바람직하고, 예를 들어, 진공도의 경우에서는, 1×10-4Pa 이하로 유지되어 있는 것이 바람직하다. 이 때문에, 스텝 310의 수소 라디칼 처리와 스텝 312의 제3 도전막(240)의 성막은, 도 2에 도시한 바와 같이 동일한 챔버 내에서 행하여지거나, 또는, 도 1에 도시한 바와 같이 수소 라디칼 처리를 행하는 챔버와 제3 도전막(240)의 성막을 행하는 챔버가, 소정의 진공도를 유지할 수 있는 공통 반송실(121)에 의해 연결되어 있어, 공통 반송실(121)을 통해 웨이퍼(W)를 이동시킬 수 있는 것인 것이 바람직하다.
또한, 스텝 310의 수소 라디칼 처리와 스텝 312의 제3 도전막(240)의 성막의 사이에, 기판(210)을 Ru막의 성막 온도 이하, 예를 들어 실온까지 냉각하는 냉각 공정을 마련해도 된다. 성막되는 제3 도전막(240)의 막 두께는, 0.5 내지 5nm이며, 제3 도전막(240)의 성막은, CVD법 외에, ALD법에 의해 행해도 된다. 또한, 본 실시 형태에서는, 제3 도전막(240)으로서 Ru막을 성막하는 경우에 대하여 설명했지만, Ru 이외의 금속 재료, 예를 들어, Fe, Co, Ni, Rh, Pd, Os, Ir 및 Pt 중에서 선택되는 1 또는 2 이상의 원소를 포함하는 것이어도 된다. 또한, 나아가, 백금족 원소 중에서 선택되는 1 또는 2 이상의 원소를 포함하는 것이어도 된다. 이것들은 Cu와의 밀착성이 우수하고, 전기를 통과시키므로 시드 Cu층과 동등한 기능을 갖는다.
이어서, 스텝 316(S316)에서, 도 14의 (b)에 도시한 바와 같이, Cu 등의 제2 도전막(230)의 성막을 행한다(제2 도전막 형성 공정). 제2 도전막의 성막 수순은 제1 실시 형태와 마찬가지이므로, 상세한 설명을 생략한다.
이 후, 필요에 따라 CMP 등에 의해 평탄화를 행하여, 오목부(215)로부터 노출되어 있는 제2 도전막(230) 및 Mn 실리케이트막(222b)을 제거한다. 이상의 공정을 반복함으로써 원하는 다층 배선을 형성할 수 있고, 다층 배선 구조를 갖는 반도체 장치를 제조할 수 있다.
또한, 상기에서, 스텝 308에서의 MnOx막(220)의 성막, 스텝 310에서의 수소 라디칼 처리는, 동일한 챔버(처리 장치)에서 행해도 되고, 또한, 각각 다른 챔버(처리 장치)에 의해 행해도 된다.
본 실시 형태에서의 제조 방법에 의하면, 절연막(214)과 제2 도전막(230)의 사이에, 제3 도전막(240) 및 Mn 실리케이트막(222b)이 형성되기 때문에, 제2 도전막(230)에 포함되는 Cu 등이 절연막(214) 내에 확산되는 것을 방지할 수 있음과 함께, 절연막(214)에 포함되는 O2나 H2O가 제2 도전막(230) 내에 확산되는 것을 방지할 수 있다. 또한, 제2 도전막(230)은, 도전성이 높은 금속 재료인 제3 도전막(240)을 개재하여 제1 도전막(212)과 접촉하고 있기 때문에, 충분한 도통을 얻을 수 있어, 도통 불량의 발생을 억제할 수 있다. 그 이외에도, 제3 도전막(240)을 개재시킴으로써, 제2 도전막(230)(Cu)과의 습윤성이 향상되기 때문에, 밀착성이 향상됨과 함께 제2 도전막(230)(Cu)의 매립성이 개선된다는 효과도 기대할 수 있다. 이에 의해, Cu 다층 배선의 미세화가 가능하게 되고, 반도체 장치(디바이스)의 고속화, 미세화 등에 의해, 소형이면서 고속이고 신뢰성이 있는 전자 기기를 얻는 것이 가능하게 된다. 또한, 상기 이외의 내용에 대해서는, 제1 실시 형태와 마찬가지이다. 또한, 본 실시 형태는, 제2 실시 형태에서의 반도체 장치의 제조 방법에서 적용해도 되고, 그 경우에는, 스텝 312의 제3 도전막(240)의 성막 공정을, 스텝 212의 수소 라디칼 처리 공정과 스텝 214의 Cu막(230)의 성막 공정의 사이에 삽입하면 된다.
[제4 실시 형태]
본 실시 형태에서, 오목부(215)의 저부에 형성된 MnOx막(221)을 습식 에칭에 의해 선택적으로 제거하는 점에서, 제2 실시 형태와 상이하다.
이어서, 도 15 및 도 16 내지 도 18에 기초하여 제4 실시 형태에 대하여 설명한다. 본 실시 형태에서의 반도체 장치의 제조 방법은, 다층 배선 구조를 갖는 반도체 장치의 제조 방법이며, 층간에서의 배선을 형성하는 것이기 때문에, 형성되어 있는 반도체 소자 및 반도체 소자의 형성 방법에 대해서는 생략되어 있다. 또한, 본 실시 형태는, 제1 실시 형태에서의 반도체 장치의 제조 장치를 일부 사용할 수 있다.
먼저, 제1 실시 형태에서의 스텝 102 내지 108(도 3)과 마찬가지의 처리 수순(스텝 402 내지 408)에 의해, 도 17의(a)에 나타낸 구성을 준비한다. 각종 재료에 대해서는, 제1 실시 형태에서 설명한 것과 마찬가지로 할 수 있다.
이어서, 스텝 410(S410)에서, 불활성 가스 또는 수소 함유 가스 또는 환원 분위기 어닐 처리를 행한다(불활성 가스 어닐 처리 공정 또는 환원 분위기 어닐 처리 공정). 이 수순은 제2 실시 형태(스텝 210)(S210)와 마찬가지이므로, 상세한 설명을 생략한다.
이에 의해, 도 17의 (b)에 도시된 바와 같이, 오목부(215)의 측면(215d) 등에 형성되어 있던 MnOx막(222)은, 오목부(215)의 측면(215d) 등을 형성하고 있는 절연막(214)에서의 산화 실리콘과 반응하여, Mn 실리케이트(MnSixOy)막(222b)이 형성된다. 또한, 비아 홀(215b)의 저부(215c)에 형성되는 MnOx막(221)은 Cu 등의 제1 도전막(212) 위에 형성되어 있기 때문에, 실리케이트화되지 않고, MnOx막(221)인 상태에서 변화하지 않는다. 또한, 확산 방지막(213) 위에 형성되어 있던 MnOx막(222)은 거의 실리케이트화되지 않고 MnOx인 상태 그대로인데, 확산 방지막(213)은 SiCN 등으로 이루어지고, 확산 방지 기능을 갖고 있으므로, 실리케이트화되지 않아도 문제가 되지는 않는다.
이어서, 스텝 412(S412)에서, 염산을 사용한 습식 에칭을 행한다. 구체적으로는, 불활성 가스 어닐 처리 또는 환원 분위기 어닐 처리가 이루어진 것을 염산에 침지하거나 함으로써, 도 17의 (c)에 도시된 바와 같이, Cu 등의 제1 도전막(212)의 위에 형성되어 있는 MnOx막(221)을 염산에 의해 용해하여 제거한다. 이때, 오목부(215)의 측면(215d) 등에 형성되어 있는 Mn 실리케이트막(222b)은 실리케이트화되어 있기 때문에, 염산에 침범되지 않아, 제거되지 않는다.
도 19에 pH의 값과 표준 수소 전극의 전위의 관계를 나타낸다. 도 19에 도시된 바와 같이, Mn은 녹지만 Cu가 녹지 않는 범위(19A)(Mn은 이온화하지만, Cu는 이온화하지 않는 범위(도면 중 약 -1.2V 이상 0.1V 이하의 범위))가 있다. 또한, 이 범위(19A) 내에서, Mn은 녹지만 Cu 및 MnSiO3이 녹지 않는 범위(19B)가 있다. 본 실시 형태에서, 범위(19B)에서의 조건(약 -0.1V 이상 0.1V 이하)에 의해 습식 에칭을 행한다. 이에 의해, 도 17의 (c)에 도시된 바와 같이, 오목부(215)의 측면(215d) 등에 형성되어 있는 Mn 실리케이트막(222b)이 제거되지 않고, Cu 등의 제1 도전막(212) 위가 되는 오목부(215)의 저면(215c)에 형성되어 있는 MnOx막(221)을 제거할 수 있다.
또한, 도 19는, 도 20의 (a)에 나타내는 Mn에서의 pH의 값과 표준 수소 전극의 전위의 관계와, 도 20의 (b)에 나타내는 Cu에서의 pH의 값과 표준 수소 전극의 전위의 관계를 중첩함으로써 얻어진 것이다. 또한, 도 19 및 도 20에서는, 횡축이 pH의 값, 종축이 표준 수소 전극의 전위를 나타내고 있다. 또한, 본 실시 형태에서의 설명에서는, 염산을 사용한 경우에 대하여 설명했지만, 아세트산이나 시트르산 등을 사용해도 된다. 여기에서는, 산화력이 없는(약한) 산을 선택하는 것이 바람직하고, 중성 또는 산성의 약액을 사용한 습식 에칭이 바람직하다.
이어서, 도 18에 도시한 바와 같이, 스텝 414(S414)에서, Cu 등의 제2 도전막(230)의 성막을 행한다(제2 도전막 형성 공정). 제2 도전막의 성막 수순은 제1 실시 형태와 마찬가지이므로, 상세한 설명을 생략한다.
이 후, 필요에 따라 CMP 등에 의해 평탄화를 행하여, 오목부(215)로부터 노출되어 있는 제2 도전막(230) 및 Mn 실리케이트막(222b)을 제거한다. 이상의 공정을 반복함으로써 원하는 다층 배선을 형성할 수 있고, 다층 배선 구조를 갖는 반도체 장치를 제조할 수 있다.
또한, 상기에서, 스텝 408에서의 MnOx막(220)의 성막, 스텝 410에서의 불활성 가스 어닐 처리 또는 환원 분위기 어닐 처리는, 동일한 챔버(처리 장치)에서 행해도 되고, 또한, 각각 다른 챔버(처리 장치)에 의해 행해도 된다. 또한, 스텝 408에서, 수소를 혼입시킨 상태에서 성막함으로써, 스텝 408에서의 성막 공정과 스텝 410에서의 어닐 처리 공정을 동시에 행하는 것도 가능하다. 또한, 습식 에칭을 행하는 챔버(처리 장치)를 공통 반송실(121)에 접속하여 클러스터 툴을 형성해도 된다.
본 실시 형태에서의 제조 방법에 의하면, 절연막(214)과 제2 도전막(230)의 사이에, Mn 실리케이트막(222b)이 형성되기 때문에, 제2 도전막(230)에 포함되는 Cu 등이 절연막(214) 내에 확산되는 것을 방지할 수 있음과 함께, 절연막(214)에 포함되는 O2이나 H2O가 제2 도전막(230) 내에 확산되는 것을 방지할 수 있다. 또한, 제2 도전막(230)은, 제1 도전막(212)을 형성하고 있는 구리 등과 직접 접촉하고 있기 때문에, 충분한 도통을 얻을 수 있어, 도통 불량의 발생을 억제할 수 있다. 또한, 본 실시 형태에서는, 미리 습식 에칭에 의해 오목부(215)의 저면(215c)에 형성되어 있는 MnOx막(221)이 제거되어 있어, Mn이 제1 도전막(212) 내를 확산하지 않기 때문에, 배선 저항을 보다 한층 낮게 할 수 있다. 이에 의해, Cu 다층 배선의 미세화가 가능하게 되고, 반도체 장치(디바이스)의 고속화, 미세화 등에 의해, 소형이면서 고속이고 신뢰성이 있는 전자 기기를 얻는 것이 가능하게 된다. 또한, 상기 이외의 내용에 대해서는, 제1 또는 제2 실시 형태와 마찬가지이다.
[제5 실시 형태]
상술한 MnOx막의 성막에 한정되지 않고, 열 ALD법, 열 CVD법이나 플라즈마 ALD법, 플라즈마 CVD법 등의 성막 수단에 의해 금속 Mn막의 성막을 행한 경우에도 본 발명의 내용의 일부를 적용할 수 있는 경우가 있다. 예를 들어, 기판(210)을 200 내지 400℃, 예를 들어, 300℃로 가열하고, 상술한 아미드아미노알칸계 망간 화합물 등의 Mn 전구체를 공급함으로써 Mn막을 성막한다. 이에 의해, 통상은 비아 홀(215b)의 저부(215c) 및 오목부(215)의 측면(215d) 등에 Mn막이 형성된다. 그러나, Cu 표면의 자연 산화막(CuOx)이 전부 제거될 수 없어 남아있는 경우에는, 형성된 금속 Mn과 상기 CuOx의 반응에 의해, Cu가 노출되어 있는 오목부의 저면에는 MnOx막이 형성되는 경우가 있다. 그때에는, 기판에 원자상 수소를 조사하는 수소 라디칼 처리에 의해, Cu의 위에 퇴적되어 있는 MnOx를 환원함과 함께 Cu(하층의 제1 도전막) 중에 확산시켜서 소멸시킴으로써 제거하는 것이 가능하다.
[변형예]
또한, 본 발명의 실시에 관한 형태에 대해 설명했지만, 상기 내용은, 발명의 내용을 한정하는 것이 아니다.
또한, 예를 들어 제1 실시 형태에서, 도 3의 스텝 112(S112)의 산화 분위기 어닐 처리를 행한 후에, 스텝 114(S114)의 Cu막(제2 도전막)의 성막을 행하는 예를 나타냈다. 그러나, 다른 예로서, 스텝 114(S114)와 마찬가지의 Cu막(제2 도전막)의 성막을 행한 후에 스텝 112(S112)와 마찬가지의 산화 분위기 어닐 처리를 행함으로써도, Mn이 실리케이트화되어 MnSixOy를 얻을 수 있다. 다른 실시 형태에 대해서도 마찬가지이다.
또한, 예를 들어 제3 실시 형태에서, 도 11의 스텝 312(S312)의 Ru막(제3 도전막)의 성막을 행한 후에, 스텝 314(S314)의 산화 분위기 어닐 처리를 행하는 예를 나타냈다. 그러나, 다른 예로서, 스텝 314(S314)의 산화 분위기 어닐 처리를 행한 후에 스텝 312(S312)의 Ru막(제3 도전막)의 성막을 행해도 된다. 또한, 스텝 314(S314)의 산화 분위기 어닐 처리는, 스텝 316(S316)의 Cu막(제2 도전막)의 성막을 행한 후에 행해도 된다.
또한, 각 실시 형태의 처리를 적절히 조합해도 된다. 예를 들어, 제2 실시 형태의 수순에 있어서, 제3 실시 형태에서 설명한 도 11의 스텝 312(S312)의 Ru막(제3 도전막)의 성막을 행할 수도 있다. 그 경우에는, 스텝 312의 Ru막(제3 도전막(240))의 성막 공정을, 스텝 212의 수소 라디칼 처리 공정과 스텝 214의 Cu막(제2 도전막(230))의 성막 공정의 사이에 삽입하면 된다.
다음의 (항목 1) 내지 (항목 27)은 2012년 7월 18일에 출원된 일본 특허 출원 2012-159652호의 「특허 청구 범위」를 기재한 것이다.
본 발명은 다음의 (항목 1) 내지 (항목 27)의 형태도 포함한다.
(항목 1)
기판 표면에 절연막이 형성되어 있고, 상기 절연막에 형성된 개구부(오목부)의 내부에 금속 산화물로 이루어지는 산화막을 성막하는 산화막의 성막 공정과,
상기 산화막의 성막 공정 후에, 원자상 수소를 조사하는 수소 라디칼 처리 공정과,
상기 산화막의 성막 공정 후에, 산소를 공급한 상태에서 가열하는 산소 어닐 처리 공정과,
상기 수소 라디칼 처리 공정 및 상기 산소 어닐 처리 공정을 행한 후, 상기 개구부의 내부에 금속으로 이루어지는 전극을 형성하는 전극 형성 공정
을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
(항목 2)
기판 표면에 절연막이 형성되어 있고, 상기 절연막에 형성된 개구부의 내부에 금속 산화물로 이루어지는 산화막을 성막하는 산화막의 성막 공정과,
상기 산화막의 성막 공정 후에, 원자상 수소를 조사하는 수소 라디칼 처리 공정과,
상기 산화막의 성막 공정 후에, 상기 개구부의 내부에 금속으로 이루어지는 전극을 형성하는 전극 형성 공정과,
상기 전극 형성 공정 후에, 산소를 공급한 상태에서 가열하는 산소 어닐 처리 공정
을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
(항목 3)
상기 수소 라디칼 처리 공정 후에, 상기 산소 어닐 처리 공정을 행하는 것을 특징으로 하는 항목 1 또는 2에 기재된 반도체 장치의 제조 방법.
(항목 4)
기판 표면에 절연막이 형성되어 있고, 상기 절연막에 형성된 개구부의 내부에 금속 산화물로 이루어지는 산화막을 성막하는 산화막의 성막 공정과,
상기 산화막의 성막 공정 후에, 수소를 공급한 상태에서 가열하는 수소 어닐 처리 공정과,
상기 수소 어닐 처리 공정 후에, 원자상 수소를 조사하는 수소 라디칼 처리 공정과,
상기 수소 어닐 처리 공정 및 상기 수소 라디칼 처리 공정을 행한 후, 상기 개구부의 내부에 금속으로 이루어지는 전극을 형성하는 전극 형성 공정
을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
(항목 5)
상기 수소 라디칼 처리는, 상기 기판을 가열한 상태에서 행하여지는 것을 특징으로 하는 항목 1 내지 4 중 어느 한 항에 기재된 반도체 장치의 제조 방법.
(항목 6)
상기 원자상 수소는 리모트 플라즈마에 의해 발생된 것인 것을 특징으로 하는 항목 1 내지 5 중 어느 한 항에 기재된 반도체 장치의 제조 방법.
(항목 7)
기판 표면에 절연막이 형성되어 있고, 상기 절연막에 형성된 개구부의 내부에 금속 산화물로 이루어지는 산화막을 성막하는 산화막의 성막 공정과,
상기 산화막의 성막 공정 후에, 수소를 공급한 상태에서 가열하는 수소 어닐 처리 공정과,
상기 수소 어닐 처리 공정 후에, 습식 에칭에 의해, 개구부의 저면에서의 산화막을 제거하는 습식 에칭 공정과,
상기 습식 에칭 공정을 행한 후, 상기 개구부의 내부에 금속으로 이루어지는 전극을 형성하는 전극 형성 공정,
을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
(항목 8)
상기 습식 에칭은, 염산, 아세트산 및 시트르산 중 어느 하나를 포함하는 에칭액을 사용한 것인 것을 특징으로 하는 항목 7에 기재된 반도체 장치의 제조 방법.
(항목 9)
상기 습식 에칭은, 중성 또는 산성의 약액을 사용하여 행해지는 것을 특징으로 하는 항목 7에 기재된 반도체 장치의 제조 방법.
(항목 10)
상기 약액의 산화 환원 전위는, 0.1V 이하인 것을 특징으로 하는 항목 9에 기재된 반도체 장치의 제조 방법.
(항목 11)
상기 약액의 산화 환원 전위는, -1.2V 이상 0.1V 이하인 것을 특징으로 하는 항목 9에 기재된 반도체 장치의 제조 방법.
(항목 12)
상기 산화막은, ALD에 의해 성막된 것인 것을 특징으로 하는 항목 1 내지 11 중 어느 한 항에 기재된 반도체 장치의 제조 방법.
(항목 13)
상기 산화막은, Mg, Al, Ca, Ti, V, Cr, Mn, Fe, Co, Ni, Ge, Sr, Y, Zr, Nb, Mo, Rh, Pd, Sn, Ba, Hf, Ta 및 Ir 중에서 선택되는 1 또는 2 이상의 원소의 산화물을 포함함으로써 형성되어 있는 것을 특징으로 하는 항목 1 내지 12 중 어느 한 항에 기재된 반도체 장치의 제조 방법.
(항목 14)
상기 산화막은, Mn의 산화물을 포함하는 것인 것을 특징으로 하는 항목 1 내지 13 중 어느 한 항에 기재된 반도체 장치의 제조 방법.
(항목 15)
상기 수소 라디칼 처리 공정과, 상기 수소 어닐 처리 공정 또는 상기 산소 어닐 처리 공정을 행한 후에, 금속막(도전막)의 성막 공정을 행하고, 상기 금속막의 성막 공정을 행한 후에 전극 형성 공정을 행하는 것으로서,
상기 금속막은, Fe, Co, Ni, Ru, Rh, Pd, Os, Ir 및 Pt 중에서 선택되는 1 또는 2 이상의 원소를 포함함으로써 형성되어 있는 것을 특징으로 하는 항목 1 내지 14 중 어느 한 항에 기재된 반도체 장치의 제조 방법.
(항목 16)
상기 수소 어닐 처리 공정 대신에, 불활성 가스를 공급한 상태에서 가열을 하는 불활성 가스 처리 공정을 행하는 것인 것을 특징으로 하는 항목 4, 7, 15 중 어느 한 항에 기재된 반도체 장치의 제조 방법.
(항목 17)
상기 산화막의 성막 공정 대신에, Mn을 포함하는 막을 형성하는 것으로서,
상기 Mn을 포함하는 막은 열 CVD 또는 플라즈마 CVD에 의해 성막되는 것을 특징으로 하는 항목 1 내지 16 중 어느 한 항에 기재된 반도체 장치의 제조 방법.
(항목 18)
상기 전극은, 구리 또는 구리를 포함하는 재료에 의해 형성되어 있는 것을 특징으로 하는 항목 1 내지 17 중 어느 한 항에 기재된 반도체 장치의 제조 방법.
(항목 19)
상기 전극은, 열 CVD법, 열 ALD법, 플라즈마 CVD법, 플라즈마 ALD법, PVD법, 전해 도금법, 무전해 도금법, 초임계 CO2법에서 선택되는 1 또는 2 이상의 방법에 의해 성막되는 것을 특징으로 하는 항목 1 내지 18 중 어느 한 항에 기재된 반도체 장치의 제조 방법.
(항목 20)
항목 1 내지 19 중 어느 한 항에 기재된 반도체 장치의 제조 방법에 의해 형성된 막 구조를 갖는 것을 특징으로 하는 반도체 장치.
(항목 21)
1 또는 2 이상의 챔버를 갖고,
상기 챔버 중 어느 하나에 있어서, 금속 산화물로 이루어지는 산화막을 성막하는 것이며,
상기 챔버 중 어느 하나에 있어서, 원자상 수소를 조사하는 수소 라디칼 처리를 행하는 것이며,
상기 챔버 중 어느 하나에 있어서, 수소 또는 산소 또는 불활성 가스를 공급한 상태에서 가열하는 어닐 처리를 행하는 것이며,
상기 챔버 중 어느 하나에 있어서, 금속으로 이루어지는 전극을 형성하는 것인 것을 특징으로 하는 반도체 장치의 제조 장치.
(항목 22)
상기 산화막의 성막은, ALD에 의해 성막되는 것인 것을 특징으로 하는 항목 21에 기재된 반도체 장치의 제조 장치.
(항목 23)
상기 산화물은 Mn의 산화물인 것을 특징으로 하는 항목 21 또는 22에 기재된 반도체 장치의 제조 장치.
(항목 24)
1 또는 2 이상의 챔버를 갖고,
상기 챔버 중 어느 하나에 있어서, 열 CVD 또는 플라즈마 CVD에 의해 금속막을 성막하고,
상기 챔버 중 어느 하나에 있어서, 원자상 수소를 조사하는 수소 라디칼 처리를 행하고,
상기 챔버 중 어느 하나에 있어서, 수소 또는 산소 또는 불활성 가스를 공급한 상태에서 가열하는 어닐 처리를 행하고,
상기 챔버 중 어느 하나에 있어서, 금속으로 이루어지는 전극을 형성하는 것을 특징으로 하는 반도체 장치의 제조 장치.
(항목 25)
상기 금속막은, Mn을 포함하는 막인 것을 특징으로 하는 항목 24에 기재된 반도체 장치의 제조 장치.
(항목 26)
상기 수소 라디칼 처리와 상기 수소 또는 산소 또는 불활성 가스를 공급한 상태에서 가열하는 어닐 처리는, 동일 챔버에서 행하여지는 것을 특징으로 하는 항목 21 또는 25에 기재된 반도체 장치.
(항목 27)
상기 산화막의 성막, 상기 수소 라디칼 처리, 상기 수소 또는 산소 또는 불활성 가스를 공급한 상태에서 가열하는 어닐 처리는, 동일 챔버에서 행하여지는 것인 것을 특징으로 하는 항목 21 또는 26에 기재된 반도체 장치.
이상, 본 발명의 바람직한 실시 형태 및 실시예에 대하여 상세하게 설명했지만, 본 발명은 상기한 특정한 실시 형태 및 실시예에 한정되는 것은 아니며, 특허 청구 범위에 기재된 본 발명의 요지의 범위 내에서, 다양한 변형·변경이 가능한 것이다.
본 국제 출원은 2012년 7월 18일에 출원된 일본 특허 출원 2012-159652호에 기초하는 우선권을 주장하는 것이며, 그 전체 내용을 여기에 원용한다.
111 : 제1 처리 장치 112 : 2 처리 장치
113 : 제3 처리 장치 114 : 제4 처리 장치
120 : 리모트 플라즈마 발생부 121 : 공통 반송실
122 : 제1 로드 로크실 123 : 제2 로드 로크실
124 : 도입측 반송실 125 : 도입 포트
126 : 개폐 도어 127 : 카세트 용기
128 : 오리엔터 131 : 반송 기구
132 : 도입측 반송 기구 133 : 안내 레일
210 : 기판 211 : 절연막
212 : 제1 도전막(배선층) 213 : 확산 방지막
214 : 절연막 215 : 오목부(개구부)
215a : 홈 215b : 홀
215c : 저면 215d : 측면
220 : MnOx막 221 : MnOx막(저면에 형성됨)
222 : MnOx막(측면에 형성됨) 222a : Mn막
222b : Mn 실리케이트(MnSixOy)막 230 : 제2 도전막(Cu막, 금속막)
240 : 제3 도전막(Ru막)

Claims (17)

  1. 제1 도전막이 형성된 기판 위에 절연막을 형성하는 절연막 형성 공정과,
    상기 절연막에 오목부를 형성하고, 상기 오목부의 일부에 상기 제1 도전막을 노출시키는 오목부 형성 공정과,
    상기 오목부 형성 공정 후, 상기 절연막과 상기 제1 도전막을 덮도록 금속 산화막을 형성하는 금속 산화막 형성 공정과,
    상기 금속 산화막 형성 공정 후에, 상기 기판에 원자상 수소를 조사하는 수소 라디칼 처리 공정과,
    상기 오목부의 내부에 제2 도전막을 형성하는 제2 도전막 형성 공정
    을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  2. 제1 도전막이 형성된 기판 위에 절연막을 형성하는 절연막 형성 공정과,
    상기 절연막에 오목부를 형성하고, 상기 오목부의 일부에 상기 제1 도전막을 노출시키는 오목부 형성 공정과,
    상기 오목부 형성 공정 후, 상기 절연막과 상기 제1 도전막을 덮도록 금속 산화막을 형성하는 금속 산화막 형성 공정과,
    상기 금속 산화막 형성 공정 후에, 상기 기판을 환원 분위기 또는 불활성 가스 분위기에서 가열하는 어닐 공정과,
    상기 어닐 공정 후에, 상기 기판에 원자상 수소를 조사하는 수소 라디칼 처리 공정과,
    상기 수소 라디칼 처리 공정 후에, 상기 오목부의 내부에 제2 도전막을 형성하는 2 도전막 형성 공정
    을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  3. 제1 도전막이 형성된 기판 위에 절연막을 형성하는 절연막 형성 공정과,
    상기 절연막에 오목부를 형성하고, 상기 오목부의 일부에 상기 제1 도전막을 노출시키는 오목부 형성 공정과,
    상기 오목부 형성 공정 후, 상기 절연막과 상기 제1 도전막을 덮도록 금속 산화막을 형성하는 금속 산화막 형성 공정과,
    상기 금속 산화막 형성 공정 후에, 상기 기판을 환원 분위기 또는 불활성 가스 분위기에서 가열하는 어닐 공정과,
    상기 어닐 공정 후에, 상기 제1 도전막 위에 형성된 상기 금속 산화막을 제거하는 습식 에칭 공정과,
    상기 습식 에칭 공정 후에, 상기 오목부의 내부에 제2 도전막을 형성하는 2 도전막 형성 공정,
    을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 제2 도전막을 형성하기 전에 제3 도전막을 형성하는 제3 도전막 형성 공정을 더 포함하고,
    상기 제3 도전막은, Fe, Co, Ni, Ru, Rh, Pd, Os, Ir 및 Pt에서 선택되는 1 또는 2 이상의 원소를 포함하는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  5. 제1항 또는 제2항에 있어서,
    상기 수소 라디칼 처리 공정을 행함으로써, 상기 제1 도전막 위에 퇴적된 상기 금속 산화막을 환원함과 함께, 상기 금속 산화막을 구성하는 금속을 상기 제1 도전막 내에 확산시켜서 제거하는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  6. 제1항에 있어서,
    상기 수소 라디칼 처리 공정 후에 상기 기판을 산화 분위기에서 가열하는 어닐 공정을 갖는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  7. 제2항, 제3항, 제6항 중 어느 한 항에 있어서,
    상기 어닐 공정을 행함으로써, 상기 금속 산화막을 구성하는 금속의 금속 실리케이트막이 형성되는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 금속 산화막은, Mg, Al, Ca, Ti, V, Cr, Mn, Fe, Co, Ni, Ge, Sr, Y, Zr, Nb, Mo, Rh, Pd, Sn, Ba, Hf, Ta 및 Ir 중에서 선택되는 1 또는 2 이상의 원소의 산화물을 포함하는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 금속 산화막은, Mn의 산화물을 포함하는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 금속 산화막은, ALD에 의해 형성되는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서,
    상기 제1 도전막 및 제2 도전막은, 구리 또는 구리를 포함하는 재료로 이루어지는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 제1 도전막 및 제2 도전막은, 열 CVD법, 열 ALD법, 플라즈마 CVD법, 플라즈마 ALD법, PVD법, 전해 도금법, 무전해 도금법, 초임계 CO2법에서 선택되는 1 또는 2 이상의 방법에 의해 형성되는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  13. 제1항, 제2항, 제4항 내지 제12항 중 어느 한 항에 있어서,
    상기 수소 라디칼 처리는, 상기 기판을 가열한 상태에서 행하여지는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  14. 제1항, 제2항, 제4항 내지 제13항 중 어느 한 항에 있어서,
    상기 원자상 수소는 리모트 플라즈마에 의해 생성되는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  15. 제3항에 있어서,
    상기 습식 에칭은, 중성 또는 산성의 에칭액을 사용해 행하여지는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  16. 제3항에 있어서,
    상기 습식 에칭은, 염산, 아세트산 및 시트르산 중 어느 하나를 포함하는 에칭액을 사용하여 행하여지는 것을 특징으로 하는, 반도체 장치의 제조 방법.
  17. 제15항 또는 제16항에 있어서,
    상기 에칭액의 산화 환원 전위는, -1.2V 이상 0.1V 이하인 것을 특징으로 하는, 반도체 장치의 제조 방법.
KR1020157001172A 2012-07-18 2013-07-11 반도체 장치의 제조 방법 KR101692170B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2012-159652 2012-07-18
JP2012159652 2012-07-18
PCT/JP2013/069058 WO2014013941A1 (ja) 2012-07-18 2013-07-11 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
KR20150037837A true KR20150037837A (ko) 2015-04-08
KR101692170B1 KR101692170B1 (ko) 2017-01-02

Family

ID=49948770

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157001172A KR101692170B1 (ko) 2012-07-18 2013-07-11 반도체 장치의 제조 방법

Country Status (5)

Country Link
US (1) US20150126027A1 (ko)
JP (1) JPWO2014013941A1 (ko)
KR (1) KR101692170B1 (ko)
TW (1) TW201417212A (ko)
WO (1) WO2014013941A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180105580A (ko) * 2017-03-15 2018-09-28 도쿄엘렉트론가부시키가이샤 피가공물을 처리하는 방법

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5353109B2 (ja) * 2008-08-15 2013-11-27 富士通セミコンダクター株式会社 半導体装置の製造方法
US9343357B2 (en) * 2014-02-28 2016-05-17 Qualcomm Incorporated Selective conductive barrier layer formation
US20160126134A1 (en) * 2014-10-29 2016-05-05 Applied Materials, Inc. Systems and methods for removing contamination from seed layer surface
US10096548B2 (en) 2015-03-16 2018-10-09 Tokyo Electron Limited Method of manufacturing Cu wiring
JP6584326B2 (ja) * 2015-03-16 2019-10-02 東京エレクトロン株式会社 Cu配線の製造方法
JP6559046B2 (ja) * 2015-11-04 2019-08-14 東京エレクトロン株式会社 パターン形成方法
WO2017090161A1 (ja) * 2015-11-26 2017-06-01 近藤 和夫 酸性銅めっき液、酸性銅めっき物および半導体デバイスの製造方法
US10297496B2 (en) * 2017-03-15 2019-05-21 Tokyo Electron Limited Method for processing target objection
US10354883B2 (en) * 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
CN112219260A (zh) 2018-06-11 2021-01-12 玛特森技术公司 用于处理工件的氢反应性核素的生成
EP3837540A1 (en) * 2018-08-17 2021-06-23 Life Technologies Corporation Method of forming ion sensors
CN112585458A (zh) * 2018-08-17 2021-03-30 生命技术公司 形成离子传感器的方法
WO2020081226A1 (en) 2018-10-15 2020-04-23 Mattson Technology, Inc. Ozone for selective hydrophilic surface treatment
CN112335017B (zh) 2018-11-16 2024-06-18 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
TW202111144A (zh) 2019-04-30 2021-03-16 美商得昇科技股份有限公司 使用甲基化處理的選擇性沉積
CN111029299A (zh) * 2019-12-18 2020-04-17 华虹半导体(无锡)有限公司 金属互连结构的形成方法
US11380536B2 (en) 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
CN115769364A (zh) * 2020-07-01 2023-03-07 朗姆研究公司 金属氧化物扩散阻挡物

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2009263A (en) 1935-07-23 Gimbal system fob gyroscopes
KR20070071045A (ko) * 2005-12-29 2007-07-04 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법 및 이를 이용한 반도체소자 제조방법
JP2008300568A (ja) 2007-05-30 2008-12-11 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP2009016782A (ja) 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2010021447A (ja) 2008-07-11 2010-01-28 Tokyo Electron Ltd 成膜方法及び処理システム
US20100166981A1 (en) * 2008-12-31 2010-07-01 Dominguez Juan E Surface charge enhanced atomic layer deposition of pure metallic films
KR20100090015A (ko) * 2009-02-05 2010-08-13 엘지디스플레이 주식회사 태양전지 및 그 제조방법
WO2012060428A1 (ja) 2010-11-02 2012-05-10 宇部興産株式会社 (アミドアミノアルカン)金属化合物、及び当該金属化合物を用いた金属含有薄膜の製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4478038B2 (ja) * 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
JP2007109687A (ja) * 2005-10-11 2007-04-26 Sony Corp 半導体装置の製造方法
JP2007250907A (ja) * 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
JP5076452B2 (ja) * 2006-11-13 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
JP2009147137A (ja) * 2007-12-14 2009-07-02 Toshiba Corp 半導体装置およびその製造方法
JP5343369B2 (ja) * 2008-03-03 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP2010040771A (ja) * 2008-08-05 2010-02-18 Rohm Co Ltd 半導体装置の製造方法
JP5653018B2 (ja) * 2009-09-24 2015-01-14 東京エレクトロン株式会社 酸化マンガン膜の成膜方法
KR101659469B1 (ko) * 2011-06-16 2016-09-23 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법, 반도체 장치, 반도체 장치의 제조 장치 및 기억 매체

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2009263A (en) 1935-07-23 Gimbal system fob gyroscopes
KR20070071045A (ko) * 2005-12-29 2007-07-04 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법 및 이를 이용한 반도체소자 제조방법
JP2008300568A (ja) 2007-05-30 2008-12-11 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP2009016782A (ja) 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2010021447A (ja) 2008-07-11 2010-01-28 Tokyo Electron Ltd 成膜方法及び処理システム
KR20110017916A (ko) * 2008-07-11 2011-02-22 도쿄엘렉트론가부시키가이샤 성막 방법 및 처리 시스템
US20100166981A1 (en) * 2008-12-31 2010-07-01 Dominguez Juan E Surface charge enhanced atomic layer deposition of pure metallic films
KR20100090015A (ko) * 2009-02-05 2010-08-13 엘지디스플레이 주식회사 태양전지 및 그 제조방법
WO2012060428A1 (ja) 2010-11-02 2012-05-10 宇部興産株式会社 (アミドアミノアルカン)金属化合物、及び当該金属化合物を用いた金属含有薄膜の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180105580A (ko) * 2017-03-15 2018-09-28 도쿄엘렉트론가부시키가이샤 피가공물을 처리하는 방법

Also Published As

Publication number Publication date
JPWO2014013941A1 (ja) 2016-06-30
TW201417212A (zh) 2014-05-01
KR101692170B1 (ko) 2017-01-02
WO2014013941A1 (ja) 2014-01-23
US20150126027A1 (en) 2015-05-07

Similar Documents

Publication Publication Date Title
KR101692170B1 (ko) 반도체 장치의 제조 방법
US11587829B2 (en) Doping control of metal nitride films
TWI436428B (zh) 釕金屬覆蓋層之形成方法
US9136132B2 (en) Manganese metal film forming method, processing system, electronic device manufacturing method and electronic device
TWI612618B (zh) 用於鑲嵌互連件中的電遷移電阻改進的界面層
TWI645511B (zh) 用於銅阻障層應用之摻雜的氮化鉭
KR101171587B1 (ko) 반도체 장치의 제조 방법 및 기억 매체
KR20230026514A (ko) 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
CN110649155A (zh) 半导体结构及其形成方法
US7858525B2 (en) Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
US9153481B2 (en) Manganese-containing film forming method, processing system, electronic device manufacturing method and electronic device
CN101981686A (zh) 用于将选择性的低温钌沉积集成到半导体器件的铜金属化中的方法
JP2008244298A (ja) 金属膜の成膜方法、多層配線構造の形成方法、半導体装置の製造方法、成膜装置
US20150262870A1 (en) Barrier Structure for Copper Interconnect
US9779950B2 (en) Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method
JPWO2012173067A1 (ja) 半導体装置の製造方法、半導体装置、半導体装置の製造装置及び記憶媒体
US7981793B2 (en) Method of forming a metal directly on a conductive barrier layer by electrochemical deposition using an oxygen-depleted ambient
TWI609095B (zh) 用於氮化錳整合之方法
KR102118580B1 (ko) 루테늄 필름들의 화학 기상 증착 (cvd) 및 그 용도들
JP2006024668A (ja) 半導体装置の製造方法
JP2006147895A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant