KR20090090981A - 황화물계 에칭제로 탄소질층을 플라즈마 에칭하는 방법 - Google Patents

황화물계 에칭제로 탄소질층을 플라즈마 에칭하는 방법 Download PDF

Info

Publication number
KR20090090981A
KR20090090981A KR1020080062505A KR20080062505A KR20090090981A KR 20090090981 A KR20090090981 A KR 20090090981A KR 1020080062505 A KR1020080062505 A KR 1020080062505A KR 20080062505 A KR20080062505 A KR 20080062505A KR 20090090981 A KR20090090981 A KR 20090090981A
Authority
KR
South Korea
Prior art keywords
layer
etching
carbon
substrate
plasma
Prior art date
Application number
KR1020080062505A
Other languages
English (en)
Other versions
KR101019928B1 (ko
Inventor
주디 왕
샤우밍 마
창-린 셰
브리안 리아오
지에 조우
훈상 김
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090090981A publication Critical patent/KR20090090981A/ko
Application granted granted Critical
Publication of KR101019928B1 publication Critical patent/KR101019928B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 탄소 황 말단 리간드를 포함하는 가스 및 산소 분자(O2)를 포함하는 에칭제 가스 혼합물로 탄소질층의 에칭을 개시한다. 높은 RF 주파수 소스가 일정한 실시예에서 이용되고 이에 의해 무기 유전체층에 대한 높은 선택도로 높은 에칭 속도를 얻는다. 일정한 실시예에서, 에칭제 가스 혼합물은 오직 두 개의 성분, COS 및 O2만을 포함하지만, 다른 실시예에서는 질소 분자(N2), 일산화탄소(CO) 또는 이산화탄소(CO2) 중 하나 이상과 같은 추가적인 가스가 탄소질층을 에칭하는데 추가적으로 이용될 수 있다.

Description

황화물계 에칭제로 탄소질층을 플라즈마 에칭하는 방법 {PLASMA ETCHING CARBONACEOUS LAYERS WITH SULFUR-BASED ETCHANTS}
본 발명의 실시예는 전자소자 제조 산업에 관한 것이고, 특히 탄소질층에서 플라즈마 에칭 피쳐(features)의 프로세스에 관한 것이다.
소자 패턴의 피쳐 크기가 100nm보다 작아짐에 따라, 피쳐의 임계 치수(critical dimension, CD) 요구는 안정적이고 반복가능한 소자 성능에 대해 더욱 중요한 기준이 되었다. 또한, 기판에 걸친 허용 가능한 CD 변화는 피쳐 CS의 스케일링으로 스케일 되었다. 예를 들면, 300mm 지름 기판에 걸쳐 일정한 이용은 평균 약 80nm의 타겟 CD에 대해 10nm 미만의 3-시그마(sigma)를 요구할 수 있다.
또한, 수직 치수보다 빠르게 측면 치수가 스케일링하면서, 소자 커패시턴스와 같은 문제 때문에, 높은 종횡비(HAR)가 산업에서 현재 우세하다. 이러한 종횡비 및 CD가 높은 에칭 선택도, 측벽 매끄러움 및 높은 툴 처리량(high tool throughput)의 요구와 조합될 때, 하드웨어 구성에 대한 프로세스 윈도우가 매우 작아질 수 있다. 많은 경우에, 다수의 프로세스 가스가 매우 높은 RF 바이어스 전력과 같은 극단적인 하드웨어 설정과 조합된 복합 에칭제 가스 혼합물(complex etchant gas mixture)로 섞일 때에만 작은 프로세스 윈도우가 발견될 수 있고, 이에 의해 측벽 패시베이션(sidewall passivation), 에칭 속도 및 마스크 선택도 사이에 프래자일 밸런스(fragile balance)를 이룬다. 그러나, 이러한 작은 프로세스 윈도우는 일반적으로 성능 제한을 받고, 이 제한은 공지된 수단으로 에칭 프로세스로부터 조정될 수 없다.
본 발명의 실시예에서, 비정질 탄소와 같은 탄소질층의 에칭이 설명되고, 이 경우 에칭제 가스 혼합물은 탄소 황 말단 리간드를 포함하는 가스 및 산소 분자(O2)를 포함한다. 일정한 실시예에서, 에칭제 가스 혼합물은 할로겐이 없고, 특별한 실시예에서 에칭제 가스 혼합물은 COS 및 O2와 같은 오직 두 성분을 포함한다. 다른 실시예에서, 질소 분자(N2), 일산화탄소(CO), 이산화탄소(CO2), 오존(O3), 물(H2O), 과산화수소(H2O2) 중 하나 이상과 같은 추가적인 가스 또는 플루오르화탄소가 탄소질층을 에칭하기 위해 O2 및 황-함유 가스와 화합될 수 있다.
일 실시예에서, 약 0.25 내지 약 1.0의 COS:O2 체적 유동 속도는 용량적으로 결합된 고주파수 RF 생성기로 활성화되고, 이는 약 100MHz를 넘는 주파수를 가지며, 이에 의해 접촉 패턴과 같은 HAR 피쳐의 측벽에서 최소 보우잉을 초래하면서 적어도 30:1보다 큰 그리고 바람직하게는 50:1보다 큰 마스킹 무기 유전체 캡 층 위에 선택도를 갖는 탄소질층을 에칭한다. 또한, COS:O2 에칭제 가스 혼합물은 하나 이상의 저주파수 RF 생성기로 활성화될 수 있는 것이 유리하고, 이는 피쳐 CD 균일성을 추가적으로 조정하기 위해 약 60MHz 미만의 주파수를 갖는다.
또 다른 실시예에서, 탄소 황 말단 리간드를 갖는 가스를 포함하는 에칭제 가스 혼합물이 기판 주변부에 인접한 제 2 오리피스에서의 가스 유동 속도와 다른 기판 중심에 인접한 제 1 가스 입구에서의 가스 유동 속도로 플라즈마 에칭 챔버로 전달되고, 플라즈마는 기판 주변부에 인접한 자기장 강도와 다른 기판 중심부에 인접한 자기장 강도에 노출되며 이에 의해 탄소질층 에칭의 균일성을 추가적으로 향상시킨다.
다중증 마스크가 기판층 상에 배치된 비정질 탄소층, 비정질 탄소층 상에 배치된 무기 유전체 캡 층 및 무기 유전체 캡 층 위에 배치된 패턴화된 포토레지스트층을 포함하는 일 예시적 실시예에서, 무기 유전체 캡 층은 플루오르화탄소를 포함하는 에칭제 가스 혼합물로 먼저 에칭되고, 에칭 프로세스 챔버는 할로겐으로 정화되며, 비정질 탄소층은 탄소 황 말단 리간드를 갖는 가스 및 O2를 포함하는 에칭제 가스 혼합물로 에칭된다.
다른 태양들은 O2와 혼합된 탄소 황 말단 리간드를 갖는 가스를 포함하는 에칭제 가스 혼합물로 탄소질층의 에칭을 수행하도록 구성된 플라즈마 에칭 장치를 제공한다. 또 다른 태양은 용량적으로 결합된 고주파수 플라즈마 에칭 장치 상에서 이러한 에칭 방법을 수행하기 위한 명령을 포함하는 컴퓨터로 읽을 수 있는 매체를 제공한다.
이하의 설명에서, 다수의 특별한 상세 내용이 설명되고 이로써 본 발명의 완전한 이해를 제공한다. 본 발명의 기술 분야의 통상의 지식을 가진 자에게는 특별한 상세한 설명이 없어도 실행할 수 있을 정도로 명백한 내용일 것이다. 다른 예에서, 특정한 리소그래픽 패터닝 및 에칭 기술과 같은 잘 알려진 피쳐는 상세하게 설명되지는 않고, 이는 본 발명을 불필요하게 불명료하게 하는 것은 아니다. "실시예"에 대한 이 명세서를 통한 참조는, 실시예와 함께 설명된 특별한 피쳐, 구조, 물질, 또는 특징이 본 발명의 적어도 일 실시예에 포함되어 있음을 의미한다. 따라서, 이 명세서를 통해 다양한 경우에서 "실시예에서"란 표현이 나오면 이는 본 발명의 동일한 실시예를 반드시 참조하라는 것은 아니다. 또한, 특별한 피쳐, 구조, 물질, 또는 특징은 하나 이상의 실시예에서 적절한 방식으로 조합될 수 있다. 또한, 도면에서 도시된 다양한 예시적 실시예는 단지 도시적인 표현이고 스케일에 따라 반드시 도시된 것이 아님을 이해해야 한다.
여기서 이용되는 "위에", "아래에", "사이에", 및 "상의"란 용어는 다른 층들에 대한 어떤 일정한 층의 상대적 위치를 지칭한다. 예를 들면, 다른 층 위에 또는 아래에 놓인 또는 배치된 하나의 층은 이 다른 층과 직접 접촉할 수 있고 또 는 하나 이상의 삽입층을 가질 수 있다. 또한, 층들 사이에 놓이거나 또는 배치된 하나의 층은 이 층들과 직접 접촉할 수 있고 또는 하나 이상의 삽입층을 가질 수도 있다. 반대로, 제 2 층 "상의" 제 1 층은 이 제 2 층과 접촉하고 있다. 또한, 다른 층들에 대한 하나의 층의 상대적인 위치는, 기판의 절대적인 배향을 고려하지 아니한 채 시작 기판에 대해 필름을 증착, 변경 및 제거하는 작업을 가정하여, 제공된다.
도 1은 본 발명의 일 실시예에 따른 피쳐를 에칭하기 위한 방법(100)의 흐름도를 도시한다. 이 방법(100)은 제작 프로세스 동안 기판 상에서 수행된다. 도 2A-2F는 도 1에서 도시된 흐름의 특별한 구현에 따라 제작된 피쳐를 갖는 예시적인 기판의 단면도를 도시한다.
도 1의 방법(100)은 워크피스(workpiece)로 시작하고, 도 2A에서 도시된 것처럼 기판층(220) 및 지지대(210)를 포함한다. 일 실시예에서, 지지대(210)는 반도체 웨이퍼이고, 이는 실리콘, 게르마늄 또는 일반적으로 알려진 Ⅲ-Ⅴ 화합물 반도체 물질과 같은 것이지만 이에 제한되는 것은 아니다. 다른 실시예에서, 지지대(210)는 유리 또는 사파이어 물질이다. 일반적으로 기판층(220)은 약 2.5의 유전 상수를 갖는 실리콘 디옥사이드와 같은 유전체층을 포함한다. 다른 실시예에서, 기판층(220)은 낮은-k 물질을 포함하고, 이 물질은 약 2.4 또는 그 미만의 유전 상수를 가지며, 이 물질은 탄소가 도핑된 실리콘 산화물과 같은 것이나 이에 제한되는 것은 아니다. 기판층(220)은 이 기술 분야에서 일반적으로 공지된 다양한 물질로 된 다수의 유전체층, 반도체층 또는 전도체층을 포함할 수 있다. 도 2A에 서 도시된 특별한 실시예에서, 기판층(220)은 에칭 정지층(215) 상에 있고, 이 경우 에칭 정지층(215)은 기판층(220)을 에칭하기 위해 이용되는 프로세스에 대해 좋은 선택도를 갖는다고 알려진 물질을 포함한다. 기판층(220)이 실리콘 디옥사이드를 포함하는 일 구현에서, 에칭 정지층(215)은 실리콘 질화물을 포함한다.
추가로 도시된 것처럼, 비정질 탄소층(225)과 같은 탄소질층이 기판층(220) 위에 형성된다. 여기서 이용될 때, 탄소질층은 적어도 20wt% 탄소를 포함하는 무기층을 포함한다. 이러한 종류의 물질에는, 일반적으로 50wt% 를 넘는 탄소를 포함하는 비정질 탄소와 적어도 20wt% 탄소 함유량을 포함하는 낮은-k 유전체가 포함된다. 바닥부 무반사 코팅(bottom anti-reflective coating, BARC) 층으로서 일반적으로 이용되는 것과 같은 20wt% 미만의 총 탄소 함유량을 갖는 유기 물질은 이 "탄소질" 종류에서 제외되고, 이 바닥부 무반사 코팅층은 일반적으로 폴리아미드 및 폴리술폰을 포함하며 5wt% 미만의 탄소를 갖는다.
탄소질층은 열적 증착 프로세스(CVD) 또는 플라즈마 강화 증착 프로세스(PECVD)로 스프레이 온(spray on)/스핀 온(spin on) 방법으로 형성될 수 있다. 도 2A에서 도시된 실시예에서, 비정질 탄소층(225)은 CVD 또는 PECVD로 증착되고 이에 의해 피롤릴릭(pyrolylic), 그라피틱(graphitic), 및 다이아몬드와 같은 탄소의 전형적인 것들의 혼성물(hybrid)인 필름 성질을 주는 sp1, sp2 및 sp3 결합 상태를 갖는 적어도 50wt%의 탄소를 포함하는 탄소 물질을 형성한다. 증착된 탄소 물질은 다양한 비율로 다수의 결합 상태를 포함할 수 있기 때문에, 이는 롱 랑 오더(long rang order)를 결여하고 이는 일반적으로 "비정질 탄소"라고 지칭된 다. 특별한 실시예에서, 비정질 탄소층(225)은 탄화수소 전구체를 이용하여 PECVD 프로세스로 형성될 수 있고, 이 탄화 수소 전구체는 메탄(CH4), 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 아세틸렌(C2H2), 톨루엔(C7H8(C6H5CH3)) 및 이의 혼합물을 포함하나 이에 제한되는 것은 아니다. 또한, 비정질 탄소층(225)은 질소 또는 다른 첨가물을 포함할 수 있다. 예시적 비정질 탄소 물질은 어드밴스드 패터닝 필름(Advanced Patterning FilmTM)(APF)이라는 상표명의 물질로서 이는 미국 캘리포니아 어플라이드 머티어리얼스사로부터 구입 가능하다. 도시되지는 않았지만, 탄소 wt% 범위의 하단부에서의 다른 실시예에서, 탄소질층은 낮은-k 유전체이고, 이는 블랙 다이아몬드TM라는 상표명을 가진 것으로 어플라이드 머티어리얼스사로부터 구입 가능하다.
비정질 탄소층(225)은 감광성이 없고 대신 플라즈마 에칭으로 패턴화되어 고충실도로(with high fidelity) 그 위의 감광성층의 패턴을 재생한다. 비정질 탄소층(225)은 전통적인 하드 마스크(실리콘 산화물 또는 질화물을 이용함)와 매우 유사한 마스크에 추가적인 두께를 제공하고, 이 경우 비정질 탄소층(225)이 예를 들어 고주파수 O2 플라즈마 스트립으로 감광성 층을 제거하는데 이용되는 방법과 동일한 방법으로 쉽게 제거될 수 있기 때문에 유리하다.
비정질 탄소층(225)은 탄소 물질의 구조적 무결성(integrity)(비정질 탄소층의 종횡비를 제한함) 및 이후 기판층(220)을 패턴화하는데 이용되는 프로세스에 대 한 물질의 저항에 따른 두께로 형성된다. 일 실시예에서, 비정질 탄소층(225)은 5:1의 종횡비에 대한 층으로 이후에 에칭되는 피쳐의 중요 치수보다 약 5배나 큰 두께를 갖는다. 추가적인 실시예에서, 피쳐 치수에 대한 비정질 탄소층 두께의 비는 1:1 내지 5:1이다. 이러한 범위의 비는 적절한 구조적 무결성을 제공할 것이고 이에 의해 패턴화된 비정질 탄소 피쳐는 이후의 프로세스 동안 붕괴되지 않을 것이다. 이러한 실시예에서, 비정질 탄소층(225)은 약 100nm 내지 약 1000nm 이다. 약 1.5 내지 2um 두께의 실리콘 디옥사이드를 포함하는 기판층을 포함하는 특별한 실시예에서, 비정질 탄소층의 두께는 약 700nm 내지 900nm이다.
도 2A에서도 도시된 것처럼, 비정질 탄소층(225)은 무기 유전체 캡 층(230)으로 캡된다. 무기 유전체 캡 층(230)은 유전체 반-반사층(DARC)으로서 작용할 수 있고 및/또는 스핀 온 기술에 의해 가해진 이후의 유기 필름의 접착을 향상시킬 수 있으며, 그렇지 아니하면 비정질 탄소층(225)에 대해 잘 접착하지 않을 수 있다. 무기 유전체 캡 층(230)은 실리콘, 질화물, 실리콘 디옥사이드 형태의 산소, 실리콘 질화물 또는 실리콘 옥시니트리드(SiON)를 포함하는 필름의 다중층 스택 또는 단일 필름일 수 있다. 이 조성물 및 두께는 피쳐의 포토리소그래픽 패터닝 동안 이용되는 특별한 파장에 대해 높은 명암비 및 최소 반사를 제공하도록 조정될 수 있다. 예시적 실시예에서, 무기 유전체 캡 층(230)은 약 25 nm 내지 100nm의 두께로 형성된다.
도 2A에서 추가적으로 도시된 것처럼, 다중층 마스크(250)는 포토리소그래피 작업 이후 패턴화된 포토레지스트층(240)을 포함한다. 일정한 포토레지스트 실시 예에서, 유기 BARC가 무기 유전체 캡 층(230) 상에 가해지고 이에 의해 감광성층의 패터닝 동안 광반사를 추가적으로 감소시킨다. BARC는 일반적으로 폴리아미드 및 폴리술폰을 포함한다. 비정질 탄소층(225) 위에 무기 유전체 캡 층(230)과 유기 BARC(미도시) 모두를 갖는 것이 일반적으로 필요한 것은 아니지만, 이러한 다중층 마스크는 그럼에도 불구하고 여전히 실행될 수 있다.
비정질 탄소층(225) 위의 패턴화된 포토레지스트(240)는 193nm 포토리소그래피 및 적절하게 알려진 레지스트 조성물과 같은 종래의 수단으로 패턴화될 수 있다. 일 실시예에서, 패턴화된 포토레지스트(240)는 약 80nm 미만의 임계 치수를 갖는 접촉 개구(241)를 포함한다. 특정한 구현에서, 패턴화된 포토레지스트(240)는 약 60nm 내지 80nm의 임계 치수를 갖는 접촉 개구를 형성한다.
도 1로 돌아가면, 작업시(120), 무기 유전체 캡 층(230)이 도 2에서 추가적으로 도시된 것처럼 에칭된다. 일 유리한 실시예에서, 무기 유전체 캡 층(230)은, CF4 및 CHF3와 같은 플루오르화탄소를 포함하나 이에 제한되지 않는 가스를 포함하는 종래의 할로겐계 화학물질로 플라즈마 에칭된다. 이들 중 하나 또는 둘 모두를 포함하는 혼합물은 N2 없이 또는 N2와 함께 화합될 수 있다. 추가적인 실시예에서, 플루오르화탄소 에칭제 가스는 13.56MHz 및 2MHz 밴드와 같은 약 50MHz 미만의 주파수를 갖는 저주파수 "바이어스 파워" RF 생성기로 활성화된다. 추가적인 실시예에서, 약 100MHz를 넘는 주파수를 갖는 고주파수 "소스 파워" RF 생성기는 에칭 바이어스를 최소화하기 위해 무기 유전체 캡 층(230)의 개방 동안에는 피해지는 것이 바람직하다. 존재한다면, BARC 층은 거의 유사한 플라즈마 에칭 프로세스로 플라즈마 에칭될 수 있고, 이에 의해 유기층 및 무기 유전체 캡 층(230) 모두가 단일 에칭 단계에서 에칭될 수 있다. 작업(120)의 기간은 캡 층(들)의 두께에 의존하고, 일 실시예에서 100nm 무기 유전체 캡 층(230)은 약 100초 미만이 지나면 제거된다.
도 1에서 도시된 실시예에서, 작업(125)에서, 비정질 탄소층(225)이 에칭되기 이전에, 무기 반반사 캡을 에칭하는데 이용되는 플루오르화탄소계 화학물질이 작업(125)에서 에칭 프로세스 챔버로부터 세정된다. 특별한 실시예에서, 아르곤과 같은 비활성 가스의 높은 체적 유동이 에칭 챔버로 유입되고, 이에 의해 에칭 챔버에서 수행되는 이후의 프로세스로 잔류 할로겐의 이전을 막기 위해 비정질 탄소층(225)의 에칭을 진행하기 이전에, 에칭 챔버로부터 할로겐계 가스를 세정한다.
작업(130)에서, 비정질 탄소층(225)은 탄소 황 말단 리간드를 포함하는 가스 및 O2를 포함하는 에칭제 가스 혼합물로 플라즈마 에칭된다. 탄소 황 말단 리간드를 포함하는 분자는 SF6와 같은 중앙에 황을 갖는 분자들과 구별되어야 한다. 탄소 황 말단 리간드를 갖는 분자는 탄소 원자에 결합된 말단 황 원자를 포함한다. 이후 탄소 원자는 중축의 부분으로서의 다른 원자에 추가적으로 결합될 수 있다. 도 5는 탄소 황 말단 리간드를 포함하는 다수의 분자를 도시하고, 이 경우 탄소 황 말단 리간드는 점선으로 에워싸여 있다. 도 5에서 도시된 R, R', R", R"' 그룹은 각각 알킬 그룹, 아릴 그룹 또는 수소 원자를 나타낸다. 도 5에서 도시된 이러한 분자들은 비대칭 탄소 황 말단 리간드를 포함하고, 이는 비극성 분자를 나타낸다. 그러나, 탄소 이황화물(CS2)과 같은 대칭 탄소 황 말단 리간드를 갖는 다른 분자는 탄소질층을 에칭하기 위해 가치있는 것일 수도 있다. 특별한 실시예에서, 탄소 황 말단 리간드를 포함하는 가스는 (COS)x의 일반식을 갖고, 바람직한 실시예에서, 탄소 황 말단 리간드를 포함하는 가스는 카르보닐 황화물, COS 이다.
COS와 같은 탄소 황 말단 리간드를 포함하는 가스는 O2와 혼합될 때 비정질 탄소 필름을 에칭하기 위해 유리하게 넓은 프로세스 윈도우를 제공한다고 알려져 있다. 이는 특히 약 100MHz를 넘는 고주파수에서 작동하는 용량성 결합된 에칭 장치로 플라즈마로 활성화될 때의 경우라고 알려져 있다. 고주파수 활성과 조합된 COS:O2 에칭제 가스의 넓은 프로세스 윈도우는 기판에 걸쳐 CD 균일성 및 에칭 속도의 광범위한 조정을 가능하게 한다. 황 디옥사이드(SO2) 및 수소 황화물(H2S)과 같은 다른 할로겐이 없는 황 함유 가스의 다수가 있는 경우에, COS와 같이, 특히 낮은 끓는 점을 가진, 탄소 황 말단 리간드를 포함하는 가스가 탄소질층의 에칭에 대해 유리하다고 알려져 있다.
고주파수 RF 소스 파워(약 100MHz를 넘음)로 활성화될 때, O2는 1um/min을 넘는 매우 높은 속도로 비정질 탄소층(225)을 에칭할 수 있지만, 매우 보우된 측벽을 초래하며(yields highly bowed sidewalls) 무기 유전체 캡 층(230)과 같은 대부분의 마스킹 필름 위에 낮은 선택도를 갖는다. 이러한 결함은 COS와 같은 탄소 황 말단 리간드를 갖는 가스의 첨가에 의해 크게 향상될 수 있다고 알려져 있고, 이는 O2가 없이 고주파수 RF 소스로 활성화될 때 상당한 속도로 비정질 탄소를 에칭하지 못한다. 따라서, 에칭제 가스 혼합물이 O2 및 COS를 필수 구성요소로 포함하는 경우의 특별한 실시예에서, 다소 세련된(elegant) 두 개의 구성요소(산화제 및 패시번트(passivant)) 혼합물이 큰 프로세스 윈도우를 갖는 강건한 비정질 탄소 에칭 프로세스를 제공할 수 있다. 반대로, SO2 및 H2S와 같은 대안적인 황 함유 가스는 유사하게 넓은 프로세스 윈도우를 제공하는 것으로 알려져 있지 아니하다. 따라서, SO2 및 H2S와 같은 가스가 기판 지름에 걸쳐 동일한 비정질 탄소 에칭 속도, 선택도 또는 접촉 바닥부 CD의 균일성을 이룬다고 기대할 수 없다.
COS:O2 에칭제 혼합물의 고주파수 RF 활성을 포함하는 실시예는 무기 유전체 캡 층(230)과 같은 물질에 높은 선택도 및 특히 높은 비정질 탄소 에칭 속도를 제공하는 것으로 알려져 있다. 여기서 이용되는 것처럼, 고주파수 RF는 약 100MHz를 넘는 RF 주파수에서 작동하는 "소스" 파워를 지칭한다. 약 60MHz 미만의 RF 주파수에서 작동하는 저주파수 "소스" 파워는 고주파수 소스에 제공하는 것보다 상당히 낮은 O2를 가진 비정질 탄소 층의 에칭 속도를 일반적으로 제공한다. 이는 용량적으로 결합되고 유도적으로 결합된 시스템에 대한 경우라고 알려져 있다. 이러한 낮은 RF 주파수와 관련된 낮은 에칭 속도는 예를 들어 높은 O2 유동 및 높은 RF 전력과 같은 보상을 차례로 요구한다. 여기서 설명되는 것처럼, 높은 O2 유동 및 낮 은 RF 주파수에서의 높은 전력은 무기 유전체 캡 층(230)과 같은 물질에 대한 높은 선택도를 유지하는데 유해하고, 또한 좋은 CD 제어에 유해하다. 예를 들면, 어플라이드 머티어리얼스사의 eMax 시스템과 같은 50MHz 소스를 이용하는 에칭 장치는, 상당한 RF 전력 레벨을 위한 어플라이드 머티어리얼스사로부터의 이네이블러(Enabler)의 용량성 소스가 제공하는 162MHz보다 약 2.5 내지 3배의 낮은 O2로의 비정질 탄소 에칭 속도를 제공한다. 낮은 RF 주파수에서의 높은 O2 유동 및 2.5배 높은 RF 전력은 낮은 효율 및 결과적으로 낮춰진 비정질 탄소 에칭 속도에 대해 부분적으로 보상할 수 있고, 이는 선택도의 상당한 손실의 지출시에(11:1 HAR 접촉 에칭에 대해 필요한 무기 유전체 캡 층(230)에 대한 30:1 미만의 선택도) 그리고 기판에 걸친 바닥 CD 균일성의 상당한 손실(중앙으로부터 에지로 적어도 13nm의 3-시그마)에서 그러하다. 따라서, 여기서 설명된 COS:O2 에칭제 가스 혼합물은 어떠한 주파수 시스템에도 일반적으로 적용 가능하고 CO를 가진 O2 또는 SO2를 가진 O2와 같은 다른 가스 혼합물에 비해 향상된 점들을 제공할 수 있고, COS:O2 에칭제 가스 혼합물이 고주파수 RF와 조합되는 경우의 실시예는 뛰어난 프로세스 윈도우를 제공한다.
특별한 실시예에서, 에칭 작업(130)은 약 0.25:1 내지 1:1의 COS:O2의 체적 가스 유동 비를 에칭 챔버로 유입시키는 단계를 포함한다. 혼합비에 따른 프로세스 경향은 도 4에서 도시된다. 고주파수 소스 전력으로 활성화될 때 COS 및 O2의 혼합 비는 800nm/초 내지 약 1.2um/초와 같은 높은 비정질 탄소 에칭 속도를 제공한다. 에칭제 혼합물에서의 COS의 상대적으로 높은 퍼센트는 광범위한 조정을 가능하게 하는데, 왜냐하면 COS는 약 10% 미만과 같은 매우 낮은 희석에 제한되지 않기 때문이다. 따라서, COS:O2 혼합물은 국소화된 종 고갈 등과 같은 기판 균일성 문제에 걸쳐 유도할 수 있는 효과에 상대적으로 민감하지 않다. 약 0.25:1 내지 1:1의 COS:O2 가스 비는, 실리콘 디옥사이드, 실리콘 질화물 또는 SiON 위의 비정질 탄소의, 30:1을 넘는, 일반적으로는 적어도 50:1 그리고 100:1에 이르는, 매우 높은 선택도를 제공한다고 알려져 있다. SO2와 같은 대안적인 황 함유 가스는 이러한 높은 선택도를 갖는 것으로 알려져 있지 않다. 어떠한 특별한 이론에 의해 제한되는 것은 아니지만, 탄소 황 말단 리간드의 존재는, SO2와 같은 탄소 황 말단 리간드가 결핍된 에칭제 혼합물에서 이용될 수 없는 실리콘 디옥사이드, 실리콘 질화물 또는 SiON과 같은 비탄소질 표면 위에 패시베이션(passivation) 종을 유리하게 제공할 수 있다. 또한, 약 100MHz를 넘는 고주파수를 갖는 COS:O2 에칭제를 활성화시키는 것은 기판 상에 높은 바이어스 전압 없이 패시베이트하기에 충분한 양의 종을 제공할 수 있다. 이러한 혼합물비의 감소된 측벽 보우잉(reduced sidewall bowing), 높은 선택도 및 높은 에칭 속도의 조합은 넓은 프로세스 윈도우에 대한 기초를 제공하고, 이 윈도우는 이후 에칭 장치의 높은 처리량 및 뛰어난 균일성을 얻도록 맞추어질 수 있다.
도 4에서 추가적으로 도시된 것처럼, COS/O2 에칭제 가스 혼합물의 총 유동 속도는 HAR 접촉 BCD를 감소시키는 경향이 있고, 비정질 탄소 에칭 속도를 증가시킨다. 에칭 챔버 구성에 따라, 총 유동이 약 25sccm 내지 1000sccm, 또는 그 초과일 수 있다. 약 0.30:1의 COS:O2 유동 속도비를 갖는 특별한 실시예에서, 총 유동은 대략 150sccm이다. 최적의 COS:O2 유동 속도비는 총 유동 속도와 선형적이지 아니하다. 에칭 장치에서 COS/O2 에칭제 가스의 매우 높은 총 유동은 프로세스 윈도우를 감소시키는 경향이 있는데, 왜냐하면 COS:O2 비가 높은 O2 유동에서 피쳐 프로파일 및 CD를 유지시키도록 증가되기 때문이다. 예를 들면, 높은 O2 유동 속도가 이용되기 때문에, COS의 높은 유동 속도는 과도한 피쳐 측벽 보우잉을 막는데 필요할 수 있다. 매우 높은 총 유동 속도에서, 기판 지름에 걸친 불균일성이 증가하고, 따라서 큰 프로세스 윈도우를 유지하기 위해, 충분히 높은 비정질 탄소 에칭 속도가 다른 프로세스 파라미터에 의해 낮은 총 COS/O2 유동에서 얻어질 수 있다면, 비교적 낮은 총 유동이 바람직하다.
두 성분 COS:O2 에칭제 혼합물 실시예는 비정질 탄소층에서 HAR 접촉의 측벽 보우잉에서의 상당한 감소를 제공하고, 측벽 프로파일에서의 추가적인 향상은 에칭제 혼합물에 대한 다른 종의 유입과 함께 얻어질 수 있다. 특별한 실시예에서, 또한 에칭제 가스 혼합물이 N2, CO, CO2, O3, H2O, H2O2 중 적어도 하나를 포함한다. 대안적인 실시예에서, N2 소스는 질소 산화물(NO, N2O, 등) 또는 암모니아(NH3)에 제한되지 않는 이와 같은 다른 질소 소스로 대체될 수 있다. 또한, 에칭제 가스 혼합물은 Ar, He, 또는 Xe와 같은 비활성 물질을 포함할 수 있다.
일정한 다른 실시예에서, COS 및 O2 둘 모두를 포함하는 제 1 에칭제 가스 혼합물이 비정질 탄소층 에칭의 제 1 부분에서 이용되고 이후 COS가 없는 제 2 에칭제 가스 혼합물이 비정질 탄소층 에칭의 제 2 부분에서 이용된다. 일 특별한 실시예에서, O2 및 COS의 두 성분 에칭제 혼합물이 비정질 탄소층 에칭의 제 1 부분에서 이용되고, 이후 O2 및 N2의 두 성분 에칭 가스 혼합물이 비정질 탄소층 에칭의 제 2 부분에서 이용된다. 이러한 프로세스는 측벽 프로파일을 맞추기 위해 매우 HAR 에칭에 대해 특히 유리할 수 있고, 제 1 부분 동안 종횡비는 약 5:1 내지 7:1에 도달하며 이후 8:1 또는 그 초과의 종횡비에 도달하도록 에칭의 제 2 부분을 계속하도록 제 2 에칭 가스 혼합물을 시프트한다. 이러한 다중 단계 프로세스는 약 10:1의 종횡비에 대해 본질적으로 수직인 접촉 측벽을 만드는 것으로 알려져 있고, 30:1보다 큰 무기 유전체 물질을 넘는 누적 선택도를 제공한다. 다른 실시예들은 이 내용에 대해 변경 사항을 포함할 수 있다고 이해되어야 하고, 이에 의해 COS 가스 성분은 펄스되며 에칭은 N2, CO, 또는 CO2와 같은 대안적인 성분의 펄스와 함께 또는 펄스 없이 비정질 탄소층을 통해 진행된다.
도 4에서 도시된 것처럼, 증가될 때, 프로세스 압력은 HAR 접촉 바닥부 임계 치수(BCD)를 감소시키는 것으로 알려져 있고, 비정질 탄소 에칭 속도를 증가시킨다. 실시예에 따라, 여기서 설명된 것처럼, COS:O2 가스비가 고주파수 용량성 시스템으로 활성화되고 약 500sccm 미만의 적절하게 낮은 총 COS/O2 가스 혼합물 유동과 함께 0.25:1 내지 1:1일 때, 프로세스 압력은 약 20mT의 바람직한 프로세스 압력으로 약 8mT 내지 50mT의 넓은 범위에 걸쳐 변경될 수 있다.
고주파수 RF 소스 파워로 활성화된 탄소 황 말단 리간드를 포함하는 에칭제 가스 혼합물의 넓은 프로세스 윈도우는, 약 60MHz 미만의 하나 이상의 저주파수 바이어스 전력의 추가를 가능하게 하고, 이에 의해 비정질 탄소층 에칭의 성능을 추가적으로 향상시킨다. 도 4에서 도시된 것처럼, HAR 접촉은 BCD를 가지는 것으로 알려져 있고, BCD는 낮은 RF 주파수 구간(regime)에서 전력 레벨 및 주파수 모두에 의존한다. 13.56MHz 주파수에서 전력을 증가시키는 것은 BCD를 감소시키고, BCD를 증가시키기 위해 2MHz 주파수에서 전력을 증가시키면서, 그리고 보우잉 상에 거의 영향을 미치지 않은 채 비정질 탄소 에칭 속도를 증가시키는 결과를 초래한다. 이러한 방식으로, 독립적으로 제어된 전력 레벨에서 2MHz 및 13.56MHz 주파수 RF 전력을 공급할 수 있는 플라즈마 에칭 장치는, 고주파수 RF 소스와 함께 제공될 때 조정 가능한 BCD를 유리하게 제공할 수 있다. 일정한 실시예에서, 저주파수 바이어스 전력 대 고주파수 소스 전력 비는 약 0.25 내지 약 1:1이다. 특별한 실시예에서, 저주파수 바이어스 전력이 제공되고 이에 의해 고주파수 소스 전력의 전력 레벨의 대략 절반 미만의 전력 레벨에서 에칭제 가스를 활성화시킨다. 약 20mT의 프로세스 압력 및 적절하게 낮은 총 유동에서 대략 0.25:1 내지 1:1의 비로 COS:O2 를 이용하는 일 구현에서, 저주파수 바이어스 전력에 대 고주파수 소스 전력 비는 2000W 내지 4000W의 총 전력으로 약 0.25 내지 약 0.5이고, 이는 200mm 기판을 수용하는 챔버에 대해 일반적인 적어도 2000W의 총 전력을 갖는 기판 크기 및 챔버 구성에 의존한다.
또한, COS:O2의 넓은 프로세스 윈도우는 기판 지름에 걸쳐 중성 및 대전된 플라즈마 종 밀도 중 하나 또는 모두를 조절하는 하드웨어 구성으로 추가적인 프로세스 조정을 가능하게 한다. 예를 들면, 기판 지름에 걸쳐 가변성의 강도를 갖는 자기장을 가하는 대전된 종 조정 유닛(charged species tuning unit, CSTU)을 포함하는 플라즈마 에칭 장치에서, BCD의 균일성은 기판 지름에 걸쳐 "W" 또는 "M" 특징을 감소시키도록 조절될 수 있다. "W" 또는 "M"은 기판 지름에 걸쳐 비정질 탄소 에칭 성능에서의 변화를 지칭하고, 이 경우 예를 들어 BCD의 속도는 기판의 절반 지름에서와 비교할 때 기판 중앙 및 에지에서 높거나 또는 낮을 수 있다. 중성 종 조정 유닛(NSTU)은 웨이퍼의 지름에 걸쳐 서로 다른 체적 유동 속도(volumetric flow rate)로 에칭 챔버로 가스가 유입되는 것을 가능하게 하고, 이는 또한 HAR 접촉의 BCD를 확장하기 위해 비정질 탄소층 에칭 동안 이용될 수 있다. 조합되어 이용될 때, CTSU의 특별한 설정은 NSTU의 특별한 설정과 함께 잘 수행하고, 이에 의해 높은 내부 대 외부 지름 가스 유동비가 상대적으로 높은 내부 대 외부 자기장비로부터 이익을 얻을 수 있다. 예를 들면, COS:O2 에칭제 가스 혼합물이 NSTU의 오 직 내부 설정으로 구현되는 경우의 일 구현에서, 대략 12G 내부:8G 외부의 혼합된 내부 대 외부 지름 자속비를 갖는 CSTU가 제공되는 것이 발견되었고 이에 의해 웨이퍼에 걸쳐 에칭 균일성형을 향상시키며, 이로써 "W" 또는 "M" 비정질 탄소층 에칭 특징을 감소시킨다.
또한, 프로세스 윈도우는 기판 온도에 관하여 넓고, 무기 유전체 물질을 넘는 좋은 비정질 탄소 에칭 속도 및 선택도가 상온에서도 가능하다. 도 4에서 도시되는 것처럼, 비정질 탄소층의 에칭 속도는 기판 온도를 조정함에 의해 증가될 수 있다. 또한, 다수의 온도 제어 존을 제공하는 에칭 장치에서 균일성을 향상시키기 위해 기판 지름에 걸쳐 비정질 탄소 에칭 속도를 추가적으로 조정하는 것이 가능하다. 특별한 실시예에서, 예를 들면 기판 중심에 가까운 지점에서 기판의 온도는 20℃와 같은 제 1 설정온도로 제어되고, 25℃와 같은 제 2 설정 온도로 기판 주변부에 인접한 지점에서의 기판 온도로 제어되며, 이에 의해 기판에 걸친 비정질 탄소층의 균일성을 향상시킨다.
또한, COS:O2 에칭제 가스 혼합물의 상대적으로 린 화학 물질(relatively lean chemistry)은 에칭된 피쳐 측벽 상의 불균일 폴리머 증착에 의해 야기되는 거칠음을 감소시킨다. 유사하게, N2와 같은 추가적인 가스와 혼합될 때 COS:O2 에칭제 가스는 예외적으로 챔버 오염을 거의 제공하지 않는다. 이는 플라즈마 에칭 챔버가 실리콘 카바이드(SiC) 또는 이트륨 물질 코팅을 포함하는 경우에 특히 진실로 알려져 있다. COS:O2 혼합물을 이용하는 특별한 비정질 탄소 에칭 프로세스는 약 30 RF 시간 동안 수행되었다. 연장된 프로세스를 따라서 낮고 에칭 잔여물이 거의 남지 않은 입자 카운트(particle counts)가 플라즈마 에칭 챔버의 조사 동안 보인다. 린 화학 물질은 챔버 세정 빈도를 감소시킬 것으로 기대되고 이에 의해 장비 생산성을 증가시킨다.
도 1로 돌아가면, 작업(140)에서, 주요 에칭이 본 발명의 실시예에 의해 패턴화된 다중층 마스크를 이용하여 수행된다. 주요 에칭은 다중층 마스크를 이용하고 이에 의해 도 2E에서 추가적으로 도시되는 것처럼 기판층(220)으로 비정질 탄소층(225)에서 형성된 피쳐를 충실하게 전달한다. 필름에 따라, 다양하게 알려진 에칭 기술 및 화학 물질이 주요 에칭에서 이용될 수 있고, 이는 질화물 또는 산화물 기판 필름의 플루오르화탄소계 플라즈마 에칭과 같은 것이나 이에 제한되는 것은 아니다. 일 실시예에서, 기판 필름은 다중층 마스크를 이용하여 80nm 미만의 피쳐를 갖도록 형성된다.
작업(150)에서, 애쉬(ash) 또는 스트립핑(stripping) 프로세스가 이용되고 이에 의해 다중층 마스크의 잔여물을 제거한다. 일 실시예에서, 인시츄(in-situ) 스트립 프로세스가 작업(120, 125, 130, 및 140)에 이용되는 동일한 에칭 챔버에서 수행된다. 추가적인 실시예에서, O2의 높은 유동은 적어도 300W의 전력으로 약 100MHz를 넘는 고주파수 소스로 활성화되고, 저주파수 바이어스 전력은 150W 미만이다. 여기서 설명된 것처럼, 고주파수 소스 전력은 약 60MHz 미만과 같은 낮은 RF 주파수로는 가능하지 않은 속도로 비정질 탄소층(225)을 부식시킬 것이다. 또 한, 인시츄 스트립은 기판층(220)의 플루오르화탄소 주요 에칭에 의해 야기되는 어떠한 히스토리 효과를 제거함에 의해 이후의 기판 상에서 방법(100)을 반복하도록 챔버를 준비한다.
비정질 탄소 스트립 작업(150) 이후, 도 1의 방법(100)이 거의 완료되고, 작업(130, 140, 150)에 이용되는 동일한 에칭 챔버에서 추가적인 프로세싱을 위해 기판이 이용 가능하다. 도 2F에서 도시된 것과 같은 실시예에서, 에칭 정지층(205)은 작업(150)을 위해 이용되는 것과 동일한 에칭 챔버에서 에칭되고, 이에 의해 비정질 탄소층(225)이 제거된 이후 하위층을 노출시킨다. 다른 실시예에서, 기판은 도 1의 방법(100)을 완료한 이후 종래 기술에서와 같이 처리될 수 있다.
대안적인 실시예에서, COS:O2 에칭제 가스 혼합물이 이용되고, 이에 의해 도 1 및 도 2A-2F에서 도시된 실시예에서의 비정질 탄소층(225)보다 낮은 wt%의 탄소를 포함하는 탄소질층을 에칭한다. 이러한 탄소질층은 CVD 또는 스프레이-온/스핀-온 방법에 의해 증착될 수 있는 적어도 20wt% 탄소를 갖는 낮은-k 유전체 필름을 포함한다. 비정질 탄소 필름에 관해 여기서 개시된 프로세스 조건은 비교적 낮은 탄소 함유량을 갖는 탄소질 필름을 이용하는 대안적인 실시예에 거의 적용 가능하고, COS:O2 에칭제 혼합물에 대한 플루오르화탄소의 첨가는 탄소 함유량 감소에 따라 유리하게 되며 실리콘 또는 산소 원자는 물질 조성물의 큰 퍼센트를 구성한다. 탄소질층으로부터 도핑된 산화물로의 층 물질 전이시, 작업(140)에 대해 설명된 것과 같은 종래의 에칭 프로세스가 적용 가능하다.
일 실시예에서, 다중 RF 주파수를 갖는 에칭제 가스 혼합물을 활성화할 수 있는 플라즈마 에칭 장치가 개시되고, 이는 미국 캘리포니아 어플라이드 머티어리얼스사에 의해 제작된 EnablerTM 에칭 챔버와 같은 것이다. 다른 실시예에서, 방법(100)의 플라즈마 에칭 프로세스는, 미국 캘리포니아 어플라이드 머티어리얼스사에 의해 제작된 MxP®, MxP+TM, Super-ETM 또는 E-MAX®과 같은 자기적으로 강화된 반응성 이온 에칭기(MERIE) 에칭 챔버에서 수행된다. 예를 들어 유도성 기술을 이용하여 플라즈마가 형성되는 챔버와 같은이 기술분야에서 공지된 높은 성능의 에칭 챔버의 다른 형태도 이용될 수 있다.
예시적 다중-주파수 에칭 시스템(300)의 단면도가 도 3에서 도시된다. 시스템(300)은 접지된 챔버(305)를 포함한다. 기판(310)은 개구(315)를 통해 로드되고 온도 제어된 캐소오드(320)에 고정된다. 특별한 실시예에서, 온도 제어된 캐소오드(320)는 다수의 존을 포함하고, 각각의 존은 독립적으로 온도 설정포인트로 제어 가능하며, 이는 기판(310) 중심 근처에 제 1 열적 존(322) 및 기판 (310)의 주변부 근처의 제 2 열적 존(321)과 같다. 프로세스 가스는 챔버(305)의 내부로 개별적인 질량 유동 제어기(349)를 통해 가스 소스(345, 346, 347, 348)로부터 공급된다. 일정한 실시예에서, NSTU(350)는 제어 가능한 내부 대 외부 지름 가스 유동비를 제공하고, 이에 의해 COS/O2 에칭제 가스 혼합물과 같은 프로세스 가스가 기판(310)의 지름에 걸쳐 중성 종 농도의 조정을 위해 기판(310)의 주변부 근처에서 또는 기판(310)의 중앙부 근처에서 더 높은 유동 속도로 제공될 수 있다. 챔버(305)는 터보 분자 펌프(turbo molecular pump)를 포함하는 고용량 진공 펌프 스택(355)에 연결된 배출 밸브(351)를 통해 5mTorr 내지 500mTorr로 비워진다.
RF 전력이 가해질 때, 플라즈마가 기판(310) 위의 챔버 처리 영역에서 형성된다. 바이어스 전력 RF 생성기(325)는 캐소오드(320)에 연결된다. 바이어스 전력 RF 생성기(325)는 플라즈마를 추가적으로 활성화하기 위한 바이어스 전력을 제공한다. 바이어스 전력 RF 생성기(325)는 일반적으로 약 2MHz 내지 60MHz의 저주파수를 가지고, 특별한 실시예에서 13.56MHz 밴드에 있다. 일정한 실시예에서, 플라즈마 에칭 시스템(300)은 바이어스 전력 RF 생성기(325)와 동일한 RF 매치(327)에 연결된 약 2MHz 밴드의 주파수의 제 3 바이어스 전력 RF 생성기(326)를 포함한다. 소스 전력 RF 생성기(330)는 매치(미도시)를 통해 플라즈마 생성 요소(335)에 연결되고, 이 요소는 캐소오드(3320)에 대해 양극 산화처리(anodic)되어 있을 수 있다. 소스 RF 생성기(330)는 일반적으로 100 내지 180MHz와 같은 바이어스 RF 생성기(325)보다 고주파수를 가지고, 특별한 실시예에서 162MHz 밴드에 있다. 바이어스 전력은 기판(310) 상의 바이어스 전력에 영향을 미치고, 이에 의해 기판(310)의 이온 충돌을 제어하며, 소스 전력은 기판(310) 상의 바이어스와 상대적으로 독립적으로 플라즈마 밀도에 영향을 미친다. 플라즈마가 생성되는 입력 가스의 주어진 설정의 에칭 성능은 플라즈마 밀도 및 웨이퍼 바이어스에 따라 크게 변하고, 따라서 플라즈마를 활성화하기 위한 전력의 주파수 및 양 모두가 중요하다. 왜냐하면 기판 지름은 시간에 따라 150mm, 200mm, 300mm 등과 같이 진보되어 왔기 때문에, 이 기술 분야에서 기판 구역에 대한 플라즈마 에칭 시스템의 바이어스 전 력 및 소스를 정규화하는 것은 일반적이다.
특별한 실시예에서, 플라즈마 에칭 챔버는 기판(310)의 지름에 걸친 플라즈마에서의 대전된 종의 밀도를 제어하기 위해 내부 및 외부 지름 자기장 강도비를 제어하기 위한 CSTU를 포함한다. 일 예시적 CSTU는 기판(310)의 주변부에 인접한 자기 코일(340) 및 기판의 중앙부에 인접한 자기 코일(341)을 포함하고, 이에 의해 챔버(305)의 내부 존 및 외부 존 중 하나 또는 모두에서 0G 내지 약 25G의 자기장을 제공한다.
본 발명의 일 실시예에서, 시스템(300)은 제어기(370)에 의해 컴퓨터 제어되고, 이에 의해 저주파수 바이어스 전력, 고주파수 소스 전력, CSTU 내부 대 외부 자기장비, 에칭제 가스 유동과 NSTU 내부 대 외부 유동비, 프로세스 압력과 캐소오드 온도뿐만 아니라 다른 프로세스 파라미터를 제어한다. 제어기(370)는 일반적인 목적의 데이터 처리 시스템의 형태 중 하나일 수 있고, 이 시스템은 다양한 하위 프로세서 및 하위 제어기를 제어하기 위한 산업적인 설정에서 이용될 수 있다. 일반적으로, 제어기(370)는 다른 공통적인 구성요소 외에 메모리(373) 및 입력/출력(I/O) 회로(374)와 교통하는 중앙 처리 유닛(CPU)(372)를 포함한다. CPU(372)에 의해 실행되는 소프트웨어 명령들이 시스템(300)으로 하여금 예를 들어 기판을 플라즈마 에칭 챔버로 로드하게 하고, COS와 같은 탄소 황 말단 리간드를 포함하는 가스와 O2를 포함하는 에칭제 가스 혼합물을 플라즈마 에칭 챔버로 유입시키게 하며, 에칭제 가스 혼합물의 플라즈마로 탄소질층을 에칭하게 한다. 본 발명에 따 르면, 비정질 탄소층 아래의 실리콘 디옥사이드 유전체를 에칭하고 비정질 탄소층 위의 무기 유전체 캡 층을 에칭하는 것과 같은 다른 프로세스도 제어기(370)에 의해 실행될 수 있다. 본 발명의 일부는 컴퓨터 프로그램 제품으로서 제공될 수 있고, 이 제품은 저장된 명령을 가진 컴퓨터로 읽을 수 있는 수단을 포함할 수 있으며, 이 명령은 컴퓨터(또는 다른 전자 소자)의 프로그램을 짜는데 이용될 수 있고, 이로써 본 발명에 따라 기판을 플라즈마 에칭 챔버로 로드시키고 COS와 같은 탄소 황 말단 리간드를 포함하는 가스 및 O2를 포함하는 에칭제 가스 혼합물을 플라즈마 에칭 챔버로 유입시키며 에칭제 가스 혼합물의 플라즈마로 비정질 탄소층을 에칭한다. 컴퓨터로 읽을 수 있는 수단은 플로피 디스켓, 광학 디스크, CD-ROMs(컴팩트 디스크 리드-온리-메모리), 및 자기-광학 디스크, ROMs(리드-온리 메모리), RAMs(랜덤 액세스 메모리), EPROMs(지울 수 있는 프로그램 가능한 리드-온리 메모리), EEPROMs(전기적으로 지울 수 있는 프로그램 가능한 리드-온리 메모리), 자석 또는 광학 카드, 플래쉬 메모리, 또는 전자 명령을 저장하는데 적절한 일반적으로 공지된 형태의 컴퓨터로 읽을 수 있는 저장 수단을 포함할 수 있으나 이에 제한되는 것은 아니다. 또한, 본 발명은 컴퓨터 프로그램 제품을 포함하는 프로그램 파일로서 다운로드될 수 있고, 이 경우 프로그램 파일ㄹ은 원격 컴퓨터로부터 목적 컴퓨터로 전송될 수 있다.
본 발명은 구조적인 피쳐 및/또는 방법적인 작용에 대해 구체적인 언어로 설명하였지만, 첨부된 청구항에서 설명된 본 발명은 설명된 특별한 피쳐 또는 작용에 반드시 제한되는 것은 아니다. 개시된 특별한 피쳐 및 작용은 청구된 발명의 구현으로서 이해되어야 하고, 이에 의해 본 발명을 제한하기 위함은 아니다.
도 1은 특별한 실시예에 따른, 다중층 마스크를 구비한 피쳐를 에칭하는 방법을 도시하는 흐름도이고, 여기서 특별한 실시예에 따르면 다중층 마스크로 된 비정질 탄소층은 O2와 혼합된 COS로 에칭된다.
도 2A, 2B, 2C, 2D, 2E 및 2F는 도 1의 방법의 예시적 구현을 도시하는 단면도이고, 이에 의해 일 실시예에 따라 피쳐는 COS 및 O2를 포함하는 에칭제 가스 혼합물로 다중층 마스크로 에칭된다.
도 3은 실시예에 따른 에칭 방법을 수행하도록 구성된 플라즈마 에칭 장치의 단면도이다.
도 4는 특별한 실시예에 따라 COS 및 O2를 포함하는 에칭제 혼합물로 비정질 탄소층을 에칭하기 위한 방법의 프로세스 경향 요약을 도시한다.
도 5는 특별한 실시예에 따른 탄소 황 말단 리간드(carbon sulfur terminal ligand)를 갖는 분자의 그룹을 도시한다.

Claims (20)

  1. 20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐(feature)를 에칭하는 방법으로서,
    상기 탄소질층(carbonaceous layer) 위에 배치된 패턴화된 포토레지스트층을 포함하는 기판을 제공하는 단계;
    플라즈마 에칭 챔버로 상기 기판을 로딩하는 단계;
    상기 플라즈마 에칭 챔버로 탄소 황 말단 리간드(carbon sulfur terminal ligand)를 포함하는 가스 및 O2를 포함하는 에칭제(etchant) 가스 혼합물을 유입시키는 단계; 및
    상기 에칭제 가스 혼합물의 플라즈마로 상기 탄소질층을 에칭하는 단계를 포함하는,
    20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐를 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 에칭 가스 혼합물이 100MHz 초과의 RF 주파수를 갖는 고주파수 RF 소스로 활성화되는,
    20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐를 에칭하는 방법.
  3. 제 1 항에 있어서,
    상기 탄소질층이 50wt% 이상의 탄소를 포함하는 비정질 탄소층인,
    20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐를 에칭하는 방법.
  4. 제 1 항에 있어서,
    상기 탄소 황 말단 리간드를 포함하는 가스가 COS, SCOR2, SCORNR2, SCR2, SCRNR2, SC(NR)2, SCNR, SC(OR)2로 이루어진 그룹으로부터 선택되고, 이 경우 R이 알킬 그룹, 아릴 그룹, 또는 수소 중 하나인,
    20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐를 에칭하는 방법.
  5. 제 1 항에 있어서,
    상기 탄소 황 말단 리간드를 포함하는 가스가 카르보닐 황화물(COS)이고, COS:O2 체적 유동 속도비가 약 0.25 내지 약 1.0인,
    20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐를 에칭하는 방법.
  6. 제 1 항에 있어서,
    상기 에칭제 가스 혼합물이 COS 및 O2를 필수 구성으로 포함하는,
    20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐를 에칭하는 방법.
  7. 제 1 항에 있어서,
    상기 에칭제 가스 혼합물이 N2, O3, H2O, H2O2, CO 또는 CO2 중 하나 이상을 추가로 포함하는,
    20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐를 에칭하는 방법.
  8. 제 1 항에 있어서,
    상기 에칭제 가스 혼합물이 100MHz 초과의 주파수를 갖는 고주파수 RF 생성기로 활성화되고 60MHz 미만의 주파수를 갖는 하나 또는 그 초과의 저주파수 RF 생성기로 활성화되며, 상기 하나 이상의 저주파수 RF 생성기가 상기 플라즈마로의 전력을 상기 고주파수 RF 생성기보다 절반 미만으로 전달하는,
    20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐를 에칭하는 방법.
  9. 제 1 항에 있어서,
    상기 에칭제 가스 혼합물이 상기 기판 중심부에 인접한 제 1 가스 입구에서 상기 기판 주변부에 인접한 제 2 가스 입구에서보다 높은 가스 유동 속도로 상기 플라즈마 에칭 챔버로 전달되고, 상기 플라즈마는 상기 기판 주변부에 인접한 것보다 상기 기판 중심부에 인접한 높은 자기장에 노출되어 상기 탄소질층 에칭의 균일성을 향상시키는,
    20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐를 에칭하는 방법.
  10. 제 1 항에 있어서,
    상기 기판 중심부에 인접한 지점에서 제 1 설정포인트로 상기 기판의 온도를 제어하고, 상기 탄소질층 에칭의 균일성을 향상시키기 위해 상기 기판 주변부에 인접한 지점에서 상기 제 1 설정포인트와 다른 제 2 설정포인트로 상기 기판의 온도를 제어하는 단계를 추가로 포함하는,
    20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐를 에칭하는 방법.
  11. 제 1 항에 있어서,
    상기 탄소 황 말단 리간드를 포함하는 가스를 포함하는 상기 플라즈마로 제 1 에칭 단계에서 상기 탄소질층을 에칭하는 단계; 및
    상기 탄소질층을 상기 탄소 황 말단 리간드를 포함하는 가스가 거의 없고 N2 및 O2를 포함하는 에칭제 가스 혼합물의 플라즈마를 제공하는 상기 제 1 에칭 단계 이후의 제 2 에칭 단계에서 상기 탄소질층을 에칭하는 단계를 추가로 포함하는,
    20 wt% 이상의 탄소를 포함하는 탄소질층에서 피쳐를 에칭하는 방법.
  12. 50 wt% 이상의 탄소를 포함하는 비정질 탄소층을 에칭하는 방법으로서,
    기판층 상에 다중층 마스크를 포함하는 기판을 제공하는 단계;
    플라즈마 에칭 챔버로 상기 기판을 로딩하는 단계;
    상기 플라즈마 에칭 챔버로 탄소 황 말단 리간드를 포함하는 가스 및 O2를 포함하는 에칭제 가스 혼합물을 유입시키는 단계; 및
    상기 에칭제 가스 혼합물의 플라즈마로 상기 비정질 탄소층을 에칭하는 단계를 포함하고,
    상기 다중층 마스크가,
    상기 기판층 상에 배치된 상기 비정질 탄소층;
    상기 비정질 탄소층 상에 배치된 무기 유전체 캡 층; 및
    상기 무기 유전체 캡 층 위에 배치된 패턴화된 포토레지스트층을 포함하는,
    50 wt% 이상의 탄소를 포함하는 비정질 탄소층을 에칭하는 방법.
  13. 제 12 항에 있어서,
    상기 에칭 가스 혼합물이 100MHz 초과의 RF 주파수를 갖는 고주파수 RF 소스로 활성화되는,
    50 wt% 이상의 탄소를 포함하는 비정질 탄소층을 에칭하는 방법.
  14. 제 12 항에 있어서,
    상기 탄소 황 말단 리간드를 포함하는 가스가 카르보닐 황화물(COS)이고, COS:O2 체적 유동 속도비가 약 0.25 내지 약 1.0인,
    50 wt% 이상의 탄소를 포함하는 비정질 탄소층을 에칭하는 방법.
  15. 제 12 항에 있어서,
    상기 플라즈마로 약 100MHz 초과의 주파수를 갖는 고주파수 RF 에너지를 가하지 않고 약 60MHz 미만의 주파수를 하나 또는 그 초과의 저주파수 RF 생성기로 활성화된 플루오르화탄소 가스로 상기 무기 유전체 캡 층을 에칭하는 단계;
    상기 플루오르화탄소 가스의 상기 플라즈마 에칭 챔버를 세정하는 단계; 및
    약 100MHz 초과의 주파수를 갖는 고주파수 RF 생성기로 활성화된 에칭제 가스 혼합물로 상기 비정질 탄소층을 에칭하는 단계를 추가로 포함하는,
    50 wt% 이상의 탄소를 포함하는 비정질 탄소층을 에칭하는 방법.
  16. 제 15 항에 있어서,
    상기 고주파수 RF 생성기로 활성화된 상기 에칭제 가스 혼합물이 상기 하나 또는 그 초과의 저주파수 RF 생성기로 추가적으로 활성화되고, 상기 하나 또는 그 초과의 저주파수 RF 생성기가 상기 플라즈마로의 전력을 상기 고주파수 RF 생성기보다 절반 미만으로 전달하는,
    50 wt% 이상의 탄소를 포함하는 비정질 탄소층을 에칭하는 방법.
  17. 제 12 항에 있어서,
    상기 기판층을 플루오르화탄소 가스로 에칭하는 단계; 및
    상기 기판층을 에칭한 이후 상기 고주파수 RF 생성기로 활성화된 플라즈마로 상기 비정질 탄소층을 스트립하는(stripping) 단계를 추가로 포함하는,
    50 wt% 이상의 탄소를 포함하는 비정질 탄소층을 에칭하는 방법.
  18. 기계로 실행가능한 명령의 세트가 저장된 컴퓨터로 읽을 수 있는 수단으로서,
    데이터 처리 시스템에 의해 실행될 때, 상기 기계로 실행 가능한 명령은 시스템이 이하의 방법을 수행하도록 하고,
    상기 방법이,
    50 wt% 이상의 탄소를 포함하는 비정질 탄소층 위에 배치된 패턴화된 포토레지스트층을 포함하는 기판을 제공하는 단계;
    플라즈마 에칭 챔버로 상기 기판을 로딩하는 단계;
    상기 플라즈마 에칭 챔버로 탄소 황 말단 리간드를 포함하는 가스 및 O2를 포함하는 에칭제 가스 혼합물을 유입시키는 단계; 및
    상기 에칭제 가스 혼합물의 플라즈마로 상기 비정질 탄소층을 에칭하는 단계를 포함하는,
    기계로 실행가능한 명령의 세트가 저장된 컴퓨터로 읽을 수 있는 수단.
  19. 제 18 항에 있어서,
    상기 에칭제 가스 혼합물이 100MHz 초과의 RF 주파수를 갖는 고주파수 RF 소스로 활성화되는,
    기계로 실행가능한 명령의 세트가 저장된 컴퓨터로 읽을 수 있는 수단.
  20. 제 18 항에 있어서,
    상기 탄소 황 말단 리간드를 포함하는 가스가 카르보닐 황화물(COS)이고, 상기 명령은 상기 시스템이 약 0.25 내지 약 1.0의 체적 유동 속도비로 상기 플라즈마 에칭 챔버로 COS를 유입시키도록 하는,
    기계로 실행가능한 명령의 세트가 저장된 컴퓨터로 읽을 수 있는 수단.
KR1020080062505A 2008-02-21 2008-06-30 황화물계 에칭제로 탄소질층을 플라즈마 에칭하는 방법 KR101019928B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/035,289 2008-02-21
US12/035,289 US8133819B2 (en) 2008-02-21 2008-02-21 Plasma etching carbonaceous layers with sulfur-based etchants

Publications (2)

Publication Number Publication Date
KR20090090981A true KR20090090981A (ko) 2009-08-26
KR101019928B1 KR101019928B1 (ko) 2011-03-08

Family

ID=40997299

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080062505A KR101019928B1 (ko) 2008-02-21 2008-06-30 황화물계 에칭제로 탄소질층을 플라즈마 에칭하는 방법

Country Status (6)

Country Link
US (1) US8133819B2 (ko)
JP (1) JP2009200459A (ko)
KR (1) KR101019928B1 (ko)
CN (1) CN101515542B (ko)
SG (1) SG155104A1 (ko)
TW (1) TW200937517A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150002525A (ko) * 2013-06-28 2015-01-07 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 플라즈마 에칭 장치

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501627B2 (en) * 2007-09-27 2013-08-06 Lam Research Corporation Profile control in dielectric etch
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
JP5486883B2 (ja) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
JP2011066164A (ja) * 2009-09-16 2011-03-31 Tokyo Electron Ltd マスクパターンの形成方法及び半導体装置の製造方法
JP5606060B2 (ja) * 2009-12-24 2014-10-15 東京エレクトロン株式会社 エッチング方法及びエッチング処理装置
CN101819933A (zh) * 2010-02-11 2010-09-01 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
JP5528244B2 (ja) * 2010-07-26 2014-06-25 東京エレクトロン株式会社 プラズマ処理方法および記憶媒体
JP5674375B2 (ja) * 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US8592321B2 (en) * 2011-06-08 2013-11-26 United Microelectronics Corp. Method for fabricating an aperture
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US8778207B2 (en) 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
FR3000603B1 (fr) * 2012-12-28 2016-11-25 Commissariat Energie Atomique Procede de gravure anisotrope
CN103035508B (zh) * 2012-12-31 2016-09-07 中微半导体设备(上海)有限公司 特征尺寸收缩方法
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US9040430B2 (en) * 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9320387B2 (en) * 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9305804B2 (en) * 2013-10-07 2016-04-05 Applied Materials, Inc. Plasma etch processes for opening mask layers
US9390923B2 (en) * 2014-07-03 2016-07-12 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process
KR102535484B1 (ko) 2016-11-29 2023-05-22 램 리써치 코포레이션 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법
GB201620680D0 (en) * 2016-12-05 2017-01-18 Spts Technologies Ltd Method of smoothing a surface
US9941123B1 (en) * 2017-04-10 2018-04-10 Lam Research Corporation Post etch treatment to prevent pattern collapse
JP2018200925A (ja) * 2017-05-25 2018-12-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR102604084B1 (ko) 2017-06-08 2023-11-17 어플라이드 머티어리얼스, 인코포레이티드 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들
KR102016615B1 (ko) * 2017-09-14 2019-08-30 (주)코미코 내플라즈마 특성이 향상된 플라즈마 에칭 장치용 부재 및 그 제조 방법
JP7407121B2 (ja) * 2018-04-09 2023-12-28 アプライド マテリアルズ インコーポレイテッド パターニング用途のためのカーボンハードマスク及び関連方法
KR20240097984A (ko) 2018-05-03 2024-06-27 어플라이드 머티어리얼스, 인코포레이티드 패터닝을 위한 고품질 c 막들의 펄스형 플라즈마(dc/rf) 증착
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
CN112740360A (zh) 2018-10-26 2021-04-30 应用材料公司 用于图案化应用的高密度碳膜
TW202024047A (zh) * 2018-10-26 2020-07-01 日商關東電化工業股份有限公司 含有含硫氟碳化合物之乾蝕刻氣體組成物及使用其之乾蝕刻方法
US11264249B2 (en) 2018-12-18 2022-03-01 Mattson Technology, Inc. Carbon containing hardmask removal process using sulfur containing process gas
WO2020242799A1 (en) 2019-05-24 2020-12-03 Applied Materials, Inc. Substrate processing chamber
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
CN114008761A (zh) 2019-07-01 2022-02-01 应用材料公司 通过优化等离子体耦合材料来调节膜特性
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US20220199410A1 (en) * 2020-12-21 2022-06-23 Tokyo Electron Limited Conformal amorphous carbon layer etch with side-wall passivation
WO2023234305A1 (ja) * 2022-05-31 2023-12-07 株式会社レゾナック エッチング方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US650674A (en) * 1899-12-26 1900-05-29 Frederic Ecaubert Dredging-machine.
US4786361A (en) * 1986-03-05 1988-11-22 Kabushiki Kaisha Toshiba Dry etching process
JP3116533B2 (ja) * 1992-04-08 2000-12-11 ソニー株式会社 ドライエッチング方法
US6187688B1 (en) * 1997-01-21 2001-02-13 Matsushita Electric Industrial Co., Ltd. Pattern formation method
US6228775B1 (en) 1998-02-24 2001-05-08 Micron Technology, Inc. Plasma etching method using low ionization potential gas
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP2004363150A (ja) 2003-06-02 2004-12-24 Matsushita Electric Ind Co Ltd パターン形成方法
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
KR100780944B1 (ko) 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150002525A (ko) * 2013-06-28 2015-01-07 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 플라즈마 에칭 장치

Also Published As

Publication number Publication date
US8133819B2 (en) 2012-03-13
CN101515542B (zh) 2011-08-17
KR101019928B1 (ko) 2011-03-08
SG155104A1 (en) 2009-09-30
JP2009200459A (ja) 2009-09-03
TW200937517A (en) 2009-09-01
US20090212010A1 (en) 2009-08-27
CN101515542A (zh) 2009-08-26

Similar Documents

Publication Publication Date Title
KR101019928B1 (ko) 황화물계 에칭제로 탄소질층을 플라즈마 에칭하는 방법
US10096487B2 (en) Atomic layer etching of tungsten and other metals
US9881805B2 (en) Silicon selective removal
US8895449B1 (en) Delicate dry clean
US9385028B2 (en) Air gap process
US8778207B2 (en) Plasma etch processes for boron-doped carbonaceous mask layers
EP3038142A1 (en) Selective nitride etch
US10643854B2 (en) Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US6380095B1 (en) Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion
US20140342569A1 (en) Near surface etch selectivity enhancement
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
US7510976B2 (en) Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity
KR20080093392A (ko) 제어된 임계 치수 수축의 에칭 처리
US9960049B2 (en) Two-step fluorine radical etch of hafnium oxide
US9305804B2 (en) Plasma etch processes for opening mask layers
US20180061659A1 (en) Silicon-based deposition for semiconductor processing
US20090029557A1 (en) Plasma etching method, plasma etching apparatus and storage medium
KR102435643B1 (ko) 마이크로전자 워크피스의 처리를 위한 금속 하드 마스크 층
US20050009356A1 (en) Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor
US20220293430A1 (en) Isotropic silicon nitride removal
US11342195B1 (en) Methods for anisotropic etch of silicon-based materials with selectivity to organic materials
KR20210014483A (ko) 비정질 실리콘막의 형성 방법
US7041230B2 (en) Method for selectively etching organosilicate glass with respect to a doped silicon carbide
JP2021028959A (ja) エッチング方法及び基板処理装置
US20240120210A1 (en) Isotropic silicon nitride removal

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee