KR102535484B1 - 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법 - Google Patents

유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법 Download PDF

Info

Publication number
KR102535484B1
KR102535484B1 KR1020197018421A KR20197018421A KR102535484B1 KR 102535484 B1 KR102535484 B1 KR 102535484B1 KR 1020197018421 A KR1020197018421 A KR 1020197018421A KR 20197018421 A KR20197018421 A KR 20197018421A KR 102535484 B1 KR102535484 B1 KR 102535484B1
Authority
KR
South Korea
Prior art keywords
silicon
organic layer
etching
carbon
based organic
Prior art date
Application number
KR1020197018421A
Other languages
English (en)
Other versions
KR20190082970A (ko
Inventor
스리하샤 자얀티
상준 조
스티븐 추앙
수-청 황
지안 우
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20190082970A publication Critical patent/KR20190082970A/ko
Application granted granted Critical
Publication of KR102535484B1 publication Critical patent/KR102535484B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

실리콘 함유 하드마스크 아래에 유기 탄소 기반 층을 에칭하는 방법이 제공된다. 산소 및 할로겐 함유 컴포넌트, 및 패시베이션 컴포넌트를 포함하는 에칭 가스가 제공되고, 에칭 가스의 총 플로우 레이트 대 할로겐 함유 컴포넌트의 플로우 레이트의 체적 비는 10,000:1 내지 10:1이다. 에칭 가스는 플라즈마로 형성되고, 유기 탄소 기반 층 및 실리콘 함유 하드마스크는 플라즈마에 노출되고 플라즈마는 실리콘 함유 하드마스크에 대해 유기 탄소 기반 층을 선택적으로 에칭한다.

Description

유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법
관련 출원에 대한 교차 참조
본 출원은 2016년 11월 29일 출원된 미국 특허 가출원 번호 제 62/427,408 호의 우선권의 이익을 주장하고, 이는 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
본 개시는 반도체 웨이퍼 상에 반도체 디바이스들을 형성하는 방법에 관한 것이다. 보다 구체적으로, 본 개시는 실리콘 함유 하드마스크 아래의 유기 층에 피처들을 에칭하는 것에 관한 것이다.
반도체 디바이스들 형성시, 에칭 층들은 피처들을 형성하기 위해 에칭될 수도 있다. 일부 프로세스들에서 유기 층은 실리콘 함유 하드마스크를 사용하여 에칭될 수도 있다. 패터닝된 유기 층은 아래에 놓인 층의 후속 에칭을 위한 마스크로서 사용될 수도 있다.
전술한 바를 달성하기 위해 그리고 본 개시의 목적에 따라, 실리콘 함유 하드마스크 아래에 유기 탄소 기반 층을 에칭하기 위한 방법이 제공된다. 산소 및 할로겐 함유 컴포넌트, 및 패시베이션 컴포넌트를 포함하는 에칭 가스가 제공되고, 에칭 가스의 총 플로우 레이트 대 할로겐 함유 컴포넌트의 플로우 레이트의 체적 비는 10,000:1 내지 10:1이다. 에칭 가스는 플라즈마로 형성되고, 유기 탄소 기반 층 및 실리콘 함유 하드마스크는 플라즈마에 노출되고, 플라즈마는 실리콘 함유 하드마스크에 대해 유기 탄소 기반 층을 선택적으로 에칭한다.
본 개시의 이들 및 다른 특징들은 이하의 도면들과 함께 본 개시의 상세한 기술에서 이하에 보다 상세히 기술될 것이다.
본 개시는 제한이 아닌 예로서, 유사한 번호들이 유사한 엘리먼트들을 지칭하는 첨부된 도면들의 도면에 예시된다.
도 1은 일 실시예의 고 레벨 플로우 차트이다.
도 2a 및 도 2b는 일 실시예에 따라 프로세싱된 스택의 개략적인 단면도들이다.
도 3은 일 실시예에 따라 사용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다.
도 4는 일 실시예를 실시하는데 사용될 수도 있는 컴퓨터 시스템의 개략도이다.
도 5는 종래 기술에 따라 프로세싱된 스택의 개략적인 단면도이다.
본 개시는 첨부된 도면들에 예시된 바와 같이 몇몇 바람직한 실시예들을 참조하여 이제 상세히 기술될 것이다. 이하의 기술에서, 다수의 구체적인 상세들은 본 개시의 전체적인 이해를 제공하도록 언급된다. 그러나, 본 개시는 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 잇다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 공지의 프로세스 단계들 및/또는 구조체들은 본 개시를 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
도 1은 일 실시예의 고 레벨 플로우 차트이다. 이 실시예에서, 스택이 제공되고, 스택은 유기 탄소 기반 층 및 실리콘 함유 마스크를 갖는다 (단계 104). 산소, 할로겐 함유 컴포넌트, 및 패시베이션 컴포넌트를 포함하는 에칭 가스가 제공된다 (단계 108). 에칭 가스는 실리콘 함유 마스크를 통과하여 유기 탄소 기반 층을 에칭하는 플라즈마로 형성된다 (단계 112). 할로겐 함유 컴포넌트는 펄싱된다 (단계 116).
바람직한 실시예에서, 스택이 제공되고, 스택은 유기 탄소 기반 층 및 실리콘 함유 마스크를 갖는다 (단계 104). 도 2a는 실리콘 함유 마스크 아래에, 유기 탄소 기반 층 (208) 아래에, 기판 (204) 을 갖는 스택 (200) 의 개략도이다. 이 예에서, 실리콘 함유 마스크는 ALD (atomic layer deposition) 에 의해 증착된 실리콘 옥사이드 마스크 (216) 아래에 실리콘 마스크 (212) 를 포함한다. 이 예에서 유기 탄소 기반 층 (208) 은 비정질 탄소층이다. 하나 이상의 층들이 기판 (204) 과 유기 탄소 기반 층 (208) 사이에 있을 수도 있고, 하나 이상의 층들이 유기 탄소 기반 층 (208) 과 실리콘 함유 마스크 사이에 있을 수도 있다. 예를 들어, 유기 탄소 기반 층 (208) 과 기판 (204) 사이에 에칭 층이 있을 수도 있고, 에칭된 유기 탄소 기반 층 (208) 은 후속하여 에칭 층을 에칭하기 위해 마스크로서 사용된다.
스택 (200) 은 에칭을 수행하기 위해 플라즈마 프로세싱 챔버 내에 위치될 수도 있다. 도 3은 일 실시예에서 사용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다. 하나 이상의 실시예들에서, 플라즈마 프로세싱 챔버 (300) 는 챔버 벽 (350) 으로 둘러싸인 프로세싱 챔버 (349) 내에 가스 유입구를 제공하는 가스 분배 플레이트 (306) 및 ESC (electrostatic chuck) (308) 를 포함한다. 프로세싱 챔버 (349) 내에서, 스택 (200) 이 ESC (308) 의 상단 상에 위치된다. ESC (308) 는 ESC 소스 (348) 로부터 바이어스를 제공할 수도 있다. 가스 소스 (310) 가 가스 분배 플레이트 (306) 를 통해 프로세싱 챔버 (349) 에 연결된다. 이 실시예에서, 가스 소스 (310) 는 O2 가스 소스 (315), 패시베이션 가스 소스 (316), 및 할로겐 컴포넌트 가스 소스 (318) 를 포함한다. 가스 소스 (310) 는 부가적인 가스 소스들을 가질 수도 있다. 가스 소스 각각은 복수의 가스 소스들을 포함할 수도 있다. ESC 온도 제어기 (351) 가 ESC (308) 에 연결되고, ESC (308) 의 온도 제어를 제공한다. 이 예에서, ESC (308) 의 내측 존을 가열하기 위한 내측 가열기 (311) 로 전력을 제공하기 위한 제 1 연결부 (313) 및 ESC (308) 의 외측 존을 가열하기 위한 외측 가열기 (312) 에 전력을 제공하기 위한 제 2 연결부 (314) 에 연결된다. RF (radio frequency) 소스 (330) 가 RF 전력을 상부 전극 및/또는 하부 전극 (334)에 제공하고, 이 실시예에서 하부 전극 및/또는 상부 전극은 가스 분배 플레이트 (306) 이다. 바람직한 실시예에서, 2 ㎒, 60 ㎒, 및 선택가능하게 27 ㎒ 전력 소스들은 RF 소스 (330) 및 ESC 소스 (348) 를 형성한다. 이 실시예에서, 일 생성기가 주파수 각각에 대해 제공된다. 다른 실시예들에서, 생성기들은 분리된 RF 소스들 내에 있을 수도 있고, 또는 분리된 RF 생성기들이 상이한 전극들에 연결될 수도 있다. 예를 들어, 상부 전극은 상이한 RF 소스들에 연결된 내측 전극 및 외측 전극을 가질 수도 있다. 다른 구성들의 RF 소스들 및 전극들이 다른 실시예들에서 사용될 수도 있고, 예컨대 또 다른 실시예에서 상부 전극들은 접지될 수도 있다. 제어기 (335) 는 ESC 온도 제어기 (351), RF 소스 (330), ESC 소스 (348), 배기 펌프 (320), 및 소스 (310) 에 제어가능하게 연결된다. 이러한 프로세싱 챔버의 예는 CA, Fremont 소재의 Lam Research Corporation에 의해 제작된 Exelan FlexTM 에칭 시스템이다. 프로세스 챔버는 CCP (capacitive coupled plasma) 반응기 또는 ICP (inductive coupled plasma) 반응기일 수 있다. ICP 반응기가 보다 우수한 바이어스 제어를 제공할 수도 있고, 개선된 에칭 프로세스를 제공할 수도 있다.
도 4는 본 개시의 실시예들에서 사용된 제어기 (335) 를 구현하기에 적합한 컴퓨터 시스템 (400) 을 도시하는 고레벨 블록도이다. 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판, 및 소형 휴대용 디바이스로부터 대형 슈퍼 컴퓨터까지의 범위의 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (400) 은 하나 이상의 프로세서들 (402) 을 포함하고, (그래픽, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (402), 메인 메모리 (406) (예를 들어, RAM (random access memory)), 저장 디바이스 (408) (예를 들어, 하드 디스크 드라이브), 이동식 저장 디바이스 (410) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스 (412) (예를 들어, 키보드, 터치 스크린, 키패드, 마우스 또는 다른 포인팅 디바이스들, 등), 및 통신 인터페이스 (414) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (414) 는 소프트웨어 및 데이터로 하여금 링크를 통해 컴퓨터 시스템 (400) 과 외부 디바이스들 간에 이동되도록 한다. 시스템은 또한 전술한 디바이스들/모듈들이 접속된 통신 인프라스트럭처 (416) (예를 들어, 통신 버스, 크로스오버 바, 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (414) 를 통해 전달된 정보는 신호들을 반송하고, 유선 또는 케이블, 광 섬유, 전화선, 셀룰러 전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있는 통신 링크를 통해 통신 인터페이스 (414) 에 의해 수신될 수 있는 전자, 전자기, 광학 또는 다른 신호들과 같은 신호들의 형태일 수도 있다. 이러한 통신 인터페이스를 사용하여, 하나 이상의 프로세서들 (402) 이 네트워크로부터 정보를 수신할 수도 있고, 또는 상기 기술된 방법 단계들을 수행하는 동안 네트워크로 정보를 출력할 수도 있다. 게다가, 방법 실시예들은 프로세서들 상에서만 실행될 수도 있고 또는 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크 상에서 실행될 수도 있다.
용어 "비일시적인 컴퓨터 판독가능 매체 (non-transient computer readable medium)" 는 일반적으로 메인 메모리, 2차 메모리, 이동식 저장장치, 및 하드 디스크, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM, 및 지속적인 메모리의 다른 형태들과 같은 저장 디바이스들과 같은 매체를 지칭하는데 사용되고, 반송파 또는 신호들과 같은 임시적인 소재를 커버하는 것으로 해석되지 않아야 한다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성된 것과 같은 머신 코드, 및 인터프리터를 사용하여 컴퓨터에 의해 실행되는 보다 고 레벨 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독가능 매체는 또한 반송파 내에 포함되고 프로세서에 의해 실행가능한 인스트럭션들의 시퀀스를 나타내는 컴퓨터 데이터 신호에 의해 송신된 컴퓨터 코드일 수도 있다.
스택이 플라즈마 프로세싱 챔버 (300) 내에 위치된 후, 프로세싱 챔버 (349) 내로 산소, 할로겐 함유 컴포넌트, 및 패시베이션 컴포넌트를 포함하는 에칭 가스의 플로우를 제공하는 단계에 의해 에칭 프로세스가 시작된다 (단계 108) 이 예에서, 에칭 가스는 35 sccm의 CH4, 21 sccm의 COS, 2 sccm의 CH3F, 100 sccm의 N2, 및 100 sccm의 O2를 포함한다. 20 mTorr의 압력이 제공된다. 에칭 가스는 유기 탄소 기반 층 (208) 을 에칭하기 위해 플라즈마로 변환된다 (단계 112). 이 예에서, 60 ㎒로 500 W 내지 1090 W, 20 % 듀티 사이클로 펄싱된 RF가 에칭 가스를 플라즈마로 변환하기 위해 제공된다. RF를 펄싱하는 것은 프로파일 개선을 제공한다. 고 피크 전력은 하단 CD를 넓히는 이점이 있지만, 비틀림 (bowing) 이 증가되는 트레이드오프가 있다. 저 전력과 고 전력 사이에서 펄싱함으로써, 보다 큰 하단 CD을 여전히 인에이블하면서, 크게 비틀리는 CD를 방지하도록 충분한 측벽 패시베이션이 제공된다. 유기 탄소 기반 층 (208) 은 55 초 동안 인시츄 플라즈마에 노출된다. 도 2b는 에칭이 완료된 후 스택 (200) 의 개략적인 단면도이다. 피처들 (220) 이 유기 탄소 기반 층 (208) 내로 에칭되었다. 피처들 (220) 은 실질적으로 수직 측벽들을 갖는다.
일부 실시예들에서, 할로겐 함유 컴포넌트가 펄싱될 수도 있다 (단계 116). 할로겐 함유 컴포넌트의 펄싱은 할로겐 함유 컴포넌트의 플로우를 주기적으로 중단할 수도 있다. 다른 실시예들에서, 할로겐 함유 컴포넌트의 펄싱은 할로겐 함유 컴포넌트의 플로우 레이트를 가변할 수도 있다.
에칭 가스에 소량의 할로겐 컴포넌트를 갖는 것은 개선된 수직 프로파일을 제공하기 위해 재증착된 실리콘을 트리밍할 (trim) 수 있다는 것을 예상치 않게 알게 되었다. 매우 많은 할로겐은 실리콘 기반 하드마스크를 에칭해 버릴 (etch away) 것이고, 이는 불규칙적인 피처들을 유발할 것이다. 바람직하게, 체적으로 에칭 가스의 총 플로우 레이트 대 체적으로 할로겐 컴포넌트의 플로우 레이트의 비 (예를 들어 sccm 단위인 플로우의 비) 는 체적으로 10,000:1 내지 10:1이다. 보다 바람직하게, 에칭 가스의 총 플로우 레이트 대 할로겐 컴포넌트의 플로우 레이트의 비는 300:1 내지 20:1이다. 실험은 총 에칭 가스의 0.5 % 내지 4 %의 체적의 할로겐 컴포넌트가 일 실시예에서 사용될 수도 있다는 것을 보여준다. 이러한 실시예들은 200:1 내지 25:1의 에칭 가스의 총 플로우 레이트 대 할로겐 컴포넌트의 플로우 레이트 비를 갖는다. 할로겐 함유 컴포넌트가 펄싱되면, 바람직하게, 할로겐 함유 컴포넌트의 평균 플로우 레이트는 할로겐 함유 컴포넌트의 플로우 레이트에 대한 총 에칭 가스의 플로우 레이트의 바람직한 비율 범위 내에 있다.
일부 실시예들에서 할로겐 컴포넌트는 CH3F 대신 다른 할로겐 컴포넌트들을 사용할 수도 있다. 다른 할로겐 컴포넌트들 중 일부는 Cl2, CH2F2, 또는 HBr일 수도 있다. 할로겐 컴포넌트는 Si-마스크 손실을 최소화하는 동안, 재증착된 Si를 천천히 제거하는 농도여야 한다. 따라서, 할로겐 함량 및 플로우 레이트는 Si-마스크 손실을 최소화하는 것에 대해 최적의 비틀림 프로파일을 획득하는 것으로 한정한다.
바람직하게, 측방향 에칭을 감소시키기 위해 저압이 유지된다. 바람직하게, 저압은 40 mTorr보다 낮다. 이론에 얽매이지 않고, 보다 높은 압력은 증가된 산소 라디칼들로 인해 증가된 비틀림을 유발한다고 여겨진다. 보다 높은 압력은 증가된 비틀림과 증가된 하단 CD들 간의 증가된 트레이드오프를 보여주는 것으로 예상된다. 이에 더하여, 보다 높은 압력은 할로겐 컴포넌트의 보다 높은 분압 또는 마스크의 보다 등방성 에칭으로 인해 마스크 충실도 (fidelity) 를 저하시킬 수 있다. 바람직하게, 패시베이션 컴포넌트는 할로겐 프리 (halogen free) 이다. 일부 실시예들에서 패시베이션 컴포넌트는 COS 및 CH4를 포함한다. 일부 실시예들에서, 패시베이션 컴포넌트는 COS 및 CH4 중 적어도 하나를 포함한다. 일부 실시예들에서, 패시베이션 컴포넌트는 본질적으로 COS, CH4, 및 N2로 구성된다. 바람직하게, 실리콘 함유 하드마스크는 30 ㎚ 이하 (sub 30 ㎚) 피처들을 형성한다. 보다 바람직하게, 실리콘 함유 하드마스크는 20 ㎚ 이하 피처들을 형성한다. 바람직하게, 피처들은 3:1보다 큰 높이 대 폭 종횡비를 갖는다. 일부 실시예들은 마스크 개방을 위해 사용될 수도 있다. 30 ㎚ 이하에서, 마스크의 개방 동안 마스크 왜곡 여지가 거의 없다. 다양한 실시예들이 비틀림이 없는 수직 프로파일을 제공하는 다른 프로세스들보다 적은 왜곡을 갖는 마스크를 개방할 수 있다. 이러한 피처 사이즈들 및 왜곡 부족은 DRAM (dynamic random access memory) 과 같은 메모리 디바이스들을 형성하는데 유용하다.
다른 실시예들에서, 실리콘 함유 하드마스크는 Si, SiO2, SiN, Si-ARC, SiON, 또는 실리콘 함유 반사방지 코팅일 수도 있다. 다양한 실시예들에서, 실리콘 함유 하드마스크는 이중 패터닝 프로세싱에 사용된 마스크일 수도 있다.
도 5는 실리콘 함유 마스크 아래에, 유기 탄소 기반 층 (508) 아래에, 기판 (504) 을 갖는, 종래 기술 프로세스를 사용하여 프로세싱된 스택 (500) 의 개략적인 단면도이다. 이 예에서, 실리콘 함유 마스크는 ALD에 의해 증착된 실리콘 옥사이드 마스크 (516) 아래에 실리콘 마스크 (512) 를 포함한다. 이 예에서 유기 탄소 기반 층 (508) 은 비정질 탄소 층이다. 종래 기술의 이 예에서, 할로겐 컴포넌트를 갖지 않고 패시베이션 컴포넌트를 갖지 않고, 산소를 포함하는 에칭 가스가 단일 에칭 단계에 사용된다. 에칭 가스로부터의 이온들은 실리콘 함유 마스크로부터의 실리콘으로 하여금 스퍼터링하고 재증착된 측벽들 (520) 을 형성하기 위해 실리콘 함유 마스크의 측면들 상에 재증착하게 한다. 재증착된 측벽들 (520) 은 어퍼처들의 폭을 좁히고, 도시된 바와 같이 수직으로 지향된 이온들만 (526) 이 보다 좁은 갭을 통과할 수 있기 때문에, 피처들 (524) 의 하단부들로 하여금 테이퍼링 (taper) 되게 한다. 이에 더하여, 재증착된 측벽들 (520) 은 커브되고, 이는 이온들 (528) 로 하여금 피처들의 측벽들 내로 지향되는 휘는 (deflect) 이온들 (532) 이 되게 하여, 도시된 바와 같이 측벽 블로우 아웃한다 (blowout).
또 다른 종래 기술 프로세스가 상기 기술된 종래 기술에서 에칭 프로세스에 부가하여 트리밍 프로세스를 제공하는 순환적 프로세스를 제공하고, 트리밍 및 에칭 프로세스가 순차적으로 복수 회 반복된다. 트리밍 프로세스는 재증착된 측벽들을 에칭해 버린다. 이러한 순환적 프로세스는 측벽들의 블로우 아웃 또는 테이퍼링을 충분히 방지하지 못한다는 것을 알게 되었다.
반도체 산업계는 디바이스 사이즈 및 밀도를 계속해서 감소시킴으로써 디바이스 성능을 개선하려고 애쓴다. 디바이스의 수직 치수 및 측방향 치수를 감소시키는 것은 에칭 프로파일들에 대한 허용 오차 (또는 마진들) 를 제한한다. 20 ㎚ 이하 노드에서, 종래의 포토리소그래피 기법들은 비아 에칭을 위한 마스크를 생성하기 불충분하다. 대신, 라인들의 2 층들 (통상적으로 Si-함유 층들) 이 마스크 패턴을 형성하는 각도로 생성되는, 다중 패터닝이 필수적이다. 제 1 목적은 아래에 놓인 유기 층에 이 패턴을 전사하는 것이다.
디바이스 사이즈가 축소됨에 따라, 홀 사이즈들 및 바 CD들이 축소된다. 결과적으로, 유기 층에서 견딜 수 있는 최대 비틀림이 감소된다. 큰 비틀림은 홀융합 (hole merging), 패턴 충실도 저하, 국부적인 홀 불균일도, 및 아래에 놓인 층으로 유기 마스크 패턴의 전사시 다른 제한들로 인해 디바이스 수율을 감소시킬 수 있다.
비틀림 및 바 CD 성장에서 종래 기술 성능 제한들은 3가지 주요 원인들로 좁혀질 수 있다. 첫째, 이전에 언급된 바와 같이, 상승하는 디바이스 밀도는 패턴 무결성을 지속시키기 위해 최소 바 CD에 대한 제한을 두고, 이는 또한 비틀림 허용 오차를 한정한다. 둘째, 화학물질 불균형은 매우 등방성 또는 화학적 기반 에칭을 야기할 수 있다. 예를 들어, 다량의 O2와 같이 너무 많은 화학적 에천트가 있다면, 이는 유기 층의 등방성 에칭을 유발할 것이고, 상당한 언더커팅 (undercut) 또는 비틀림을 야기한다. 그러나, 너무 적은 에천트가 있다면, 에칭 레이트 및 쓰루풋이 악화될 것이다. 화학물질은 통상적으로 에칭 종, 패시베이팅 종, 및 희석 종의 제어된 플로우를 사용하여 최적화될 수 있다. 메모리 애플리케이션들에서 유기 층 에칭을 위해 일반적으로 사용된 일 프로세스는 O2, COS, 및 N2를 포함하고, 각각 에천트, 패시번트 (passivant), 희석제의 역할을 수행한다.
바 CD 성장 및 보잉에 대한 세번째 원인은 이온 충돌 및 이온 휨 (deflection) 으로부터 기인한다. 이온 충돌로 인해, 상단 마스크 (통상적으로 Si-함유 층 또는 층들) 가 스퍼터링되고 재증착된다. 종래의 화학물질이 스퍼터링된 Si를 제거하기 불충분하기 때문에, 이는 바 CD의 성장을 야기한다. 상단 마스크의 바 CD가 성장함에 따라, 증가하는 수의 이온들이 유기 층의 측벽들을 향해 휜다. 바 CD 성장은 또한 상단 마스크의 공간 CD 를 감소시키고, 하단 CD를 제한한다. 이는 비틀림 CD 대 하단 CD 트레이드오프를 야기한다. 큰 하단 CD를 획득하기 위해, 종래 기술에서 비틀림 CD에서의 절충이 필수적이다.
일 실시예가 Si-함유 마스크 밑에 유기 층을 에칭하기 위한 새로운 플라즈마 프로세스를 제시한다. 재증착된 Si-함유 재료를 제거하기 위해 트리밍 가스를 도입함으로써 종래 프로세스에서의 트레이드오프들을 극복한다. 구체적으로, 이 프로세스에서, 작은 플로우의 CH3F가 부가된다. 불소 종은 재증착된 Si를 에칭해 버려, Si-함유 마스크의 원치 않은 바 CD 성장을 방지한다. 상단 마스크로부터 감소된 이온 휨으로 인해, 유기 층의 비틀림 CD는 감소되고 프로파일은 보다 수직이다.
유기 층 에칭시 생성된 수직 프로파일은 매우 바람직하고 후속 패턴 전사를 위해 중요한 영향을 갖는다. 예로서, 종래 기술 프로세스에서, 유기 층에서 큰 비틀림 CD 및 상단 Si-함유 층의 큰 바 CD는 많은 우려들을 야기할 수 있다. 옥사이드 에칭 동안, 심각한 비틀림이 있을 때 상단으로부터 아래로 마스크 형상은 급격하게 변화할 것이다. 첫째, 상단 마스크의 큰 바 CD로 인해, 공간 패턴이 매우 작다. 이는 트렌치들 또는 홀들 중 일부가 막히거나 (clog) 옥사이드 층에서 형성되지 않는, 개방되지 않는 잠재적인 문제들을 야기할 수 있다. 상단 Si 마스크 및 유기 마스크가 천천히 소비됨에 따라, 바 CD들이 유기 층에서 축쇠되는 동안, 공간 CD들은 확대된다. 이는 홀 브리징 (hole bridging), 마스크 충실도, 및 불균일도를 수반하는 잠재적인 결함들을 야기할 수 있다. 옥사이드 에칭은 에칭 동안 다양한 마스크 프로파일들을 볼 수 있고, 옥사이드 에칭 프로세스를 튜닝 및 최적화가 어렵게 한다. 더욱이, 비틀림 및 비-수직/기울어진 프로파일로 인해, 유기 층 측벽들로부터 옥사이드 측벽들 상으로 상당히 보다 많은 이온 휨이 있다. 한편, 다양한 실시예들에서, 유기 층에서 생성된 수직 프로파일로 인해 상기 우려들 모두가 완화된다. 일 실시예에서, 20 ㎚ 이하 노드는 비아 패턴 에칭 동안 수직 측벽 프로파일을 제공한다. 종래의 접근방법에서 비아 공간 CD는 급격하게 변화하지만, 다양한 실시예들에서 변화들은 감소된다는 것을 주의해야 한다. 둘째, 종래 접근방법에는 라인 파손 (breakage) 및 패턴 충실도 문제들이 있다. 이들 충실도 이슈들은 다양한 실시예들에서 존재하지 않는다. 마지막으로, 다양한 실시예들에서 홀 CD 균일도가 개선된다. 종래 프로세스를 사용하여 라인 파손이 있는 지점에서, 홀 공간 CD들은 보다 크고 보다 불규칙한 형상이다.
다양한 실시예들은 특정한 마스크 패턴 또는 스택 내 특정한 재료들의 세트로 제한되지 않는다. 다양한 실시예들은 위에 놓인 Si-기반 하드마스크 패턴을 사용한 유기 층의 플라즈마 에칭 동안 스퍼터링되고 재증착된 Si-재료를 제거하기 위해 트리밍 가스를 부가한다. 다양한 실시예들은 유기 층 에칭의 프로파일 및 CD들을 정밀하게 제어하기 위해 중요하다.
보다 고 이온 에너지 프로세스를 필요로 하는, 보다 고 종횡비 에칭들에 실시예들이 사용될 수도 있다. 보다 고 이온 에너지 프로세스들은 Si 하드마스크로부터 스퍼터링 및 재증착을 증가시킨다. 더욱이, 보다 깊이 에칭하기 위해 보다 긴 프로세스 시간이 필요하고, 그 결과, 마스크 스퍼터링 및 재증착, 그리고 비틀림이 증가할 것이다. 부가적으로, 할로겐 함량은 비틀림 제한된 프로파일과 마스크 높이 제한된 프로파일 사이의 절충을 획득하도록 튜닝될 수 있다. 따라서, 이 트리밍 프로세스는 에칭 성능을 튜닝하기 위한 직교하는 노브 (knob) 를 제공한다.
다양한 실시예들은 20 ㎚ 이하 노드에 대해 40 %보다 크게 쓰루풋을 상승시키면서, 바 CD 감소시키기 위해 발견되었다. 비틀림은 4 ㎚보다 크게 감소되었다. 비틀림에 대한 하단부 비는 75 % 로부터 85 %로 개선되었다. 이들 개선들은 디바이스 밀도의 상승을 가능하게 한다.
본 개시가 몇몇 바람직한 실시예들로 기술되었지만, 본 개시의 범위 내의 대체, 수정, 치환, 및 다양한 대용 등가물들이 있다. 또한 본 개시의 방법들 및 장치들을 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서 이하의 첨부된 청구항들이 본 개시의 진정한 정신 및 범위 내에 있는 모든 이러한 대체, 수정, 치환, 및 다양한 대용 등가물들을 포함하는 것으로 해석되도록 의도된다.

Claims (21)

  1. 실리콘 함유 하드마스크 아래에 탄소 기반 유기 층을 에칭하는 방법에 있어서,
    산소; 불소 함유 컴포넌트; 및 패시베이션 컴포넌트를 포함하는 에칭 가스를 제공하는 단계로서, 상기 에칭 가스의 총 플로우 레이트 대 상기 불소 함유 컴포넌트의 플로우 레이트의 체적비 (ratio by volume) 는 10,000:1 내지 10:1인, 상기 에칭 가스를 제공하는 단계;
    상기 에칭 가스를 플라즈마로 형성하는 단계로서, 상기 탄소 기반 유기 층 및 상기 실리콘 함유 하드마스크는 상기 플라즈마에 노출되고 그리고 상기 플라즈마는 상기 실리콘 함유 하드마스크에 대해 상기 탄소 기반 유기 층을 선택적으로 에칭하는, 상기 에칭 가스를 플라즈마로 형성하는 단계; 및
    상기 탄소 기반 유기 층의 상기 선택적인 에칭 동안 상기 실리콘 함유 하드마스크의 실리콘 손실을 감소시키는 한편, 상기 플라즈마 내 불소 종이 상기 실리콘 함유 하드마스크의 측벽들로부터 재증착된 실리콘을 제거하도록, 상기 불소 함유 컴포넌트의 플로우 레이트를 제어함으로써, 상기 탄소 기반 유기 층의 상기 선택적인 에칭 동안 상기 실리콘 함유 하드마스크의 프로파일을 제어하는 단계를 포함하는, 탄소 기반 유기 층을 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 패시베이션 컴포넌트는 할로겐 프리 (halogen free) 인, 탄소 기반 유기 층을 에칭하는 방법.
  3. 제 1 항에 있어서,
    상기 플라즈마에 의한 선택적인 에칭은,
    상기 탄소 기반 유기 층 내에 피처들을 에칭하고 상기 피처들의 측벽들을 패시베이팅하는 단계;
    상기 실리콘 함유 하드마스크로부터 실리콘을 스퍼터링하는 단계로서, 상기 스퍼터링된 실리콘은 상기 실리콘 함유 하드마스크의 측벽들 상에 재증착되는 (redeposit), 상기 실리콘을 스퍼터링하는 단계; 및
    상기 실리콘 함유 하드마스크의 상기 측벽들 상의 상기 재증착된 실리콘을 트리밍하는 (trim) 단계를 포함하는, 탄소 기반 유기 층을 에칭하는 방법.
  4. 제 1 항에 있어서,
    상기 불소 함유 컴포넌트를 펄싱하는 단계를 더 포함하는, 탄소 기반 유기 층을 에칭하는 방법.
  5. 제 1 항에 있어서,
    상기 실리콘 함유 하드마스크는 Si, SiO2, SiN, Si-ARC 또는 SiON 중 적어도 하나에 기초하는, 탄소 기반 유기 층을 에칭하는 방법.
  6. 제 1 항에 있어서,
    상기 불소 함유 컴포넌트는 CH3F 또는 CH2F2 중 적어도 하나인, 탄소 기반 유기 층을 에칭하는 방법.
  7. 제 1 항에 있어서,
    상기 패시베이션 컴포넌트는 COS 및 CH4를 포함하는, 탄소 기반 유기 층을 에칭하는 방법.
  8. 제 1 항에 있어서,
    상기 패시베이션 컴포넌트는 COS 및 CH4 중 적어도 하나를 포함하는, 탄소 기반 유기 층을 에칭하는 방법.
  9. 제 1 항에 있어서,
    상기 실리콘 함유 하드마스크는 30 nm 이하 (sub 30 nm) 피처들을 형성하는, 탄소 기반 유기 층을 에칭하는 방법.
  10. 제 1 항에 있어서,
    상기 에칭 가스를 제공하는 동안 40 mTorr 미만의 압력을 유지하는 단계를 더 포함하는, 탄소 기반 유기 층을 에칭하는 방법.
  11. 제 1 항에 있어서,
    상기 에칭 가스를 플라즈마로 형성하는 단계는 상기 에칭 가스에 RF 전력을 제공하는 단계를 포함하는, 탄소 기반 유기 층을 에칭하는 방법.
  12. 제 11 항에 있어서,
    상기 RF 전력은 펄싱되는, 탄소 기반 유기 층을 에칭하는 방법.
  13. 제 1 항에 있어서,
    상기 탄소 기반 유기 층 아래에 에칭 층을 에칭하도록 마스크로서 상기 탄소 기반 유기 층을 사용하는 단계를 더 포함하는, 탄소 기반 유기 층을 에칭하는 방법.
  14. 제 1 항에 있어서,
    상기 에칭 가스의 총 플로우 레이트 대 상기 불소 함유 컴포넌트의 플로우 레이트의 체적 비는 300:1 내지 20:1인, 탄소 기반 유기 층을 에칭하는 방법.
  15. 제 1 항에 있어서,
    상기 에칭 가스의 총 플로우 레이트 대 상기 불소 함유 컴포넌트의 플로우 레이트의 체적 비는 200:1 내지 25:1인, 탄소 기반 유기 층을 에칭하는 방법.
  16. 제 1 항에 있어서,
    상기 탄소 기반 유기 층 및 상기 실리콘 함유 하드마스크는 상기 플라즈마에 노출되고, 그리고 상기 플라즈마는 상기 실리콘 함유 하드마스크에 대해 상기 탄소 기반 유기 층을 선택적으로 에칭하고 3:1보다 큰 종횡비를 갖는 에칭 피처들의 형성을 유발하는, 탄소 기반 유기 층을 에칭하는 방법.
  17. 삭제
  18. 제 3 항에 있어서,
    상기 트리밍하는 단계는 불소 종으로 상기 재증착된 실리콘을 에칭해 버리는 (etching away) 단계를 포함하는, 탄소 기반 유기 층을 에칭하는 방법.
  19. 제 1 항에 있어서, 상기 불소 함유 컴포넌트는 CH3F인, 탄소 기반 유기 층을 에칭하는 방법.
  20. 제 6 항에 있어서,
    상기 에칭 가스는 Cl2 또는 HBr 중 적어도 하나를 더 포함하는, 탄소 기반 유기 층을 에칭하는 방법.
  21. 제 1 항에 있어서,
    상기 유기 층은 비정질 탄소를 포함하는, 탄소 기반 유기 층을 에칭하는 방법.
KR1020197018421A 2016-11-29 2017-11-07 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법 KR102535484B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662427408P 2016-11-29 2016-11-29
US62/427,408 2016-11-29
PCT/US2017/060449 WO2018102088A1 (en) 2016-11-29 2017-11-07 Method for generating vertical profiles in organic layer etches

Publications (2)

Publication Number Publication Date
KR20190082970A KR20190082970A (ko) 2019-07-10
KR102535484B1 true KR102535484B1 (ko) 2023-05-22

Family

ID=62193307

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197018421A KR102535484B1 (ko) 2016-11-29 2017-11-07 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법

Country Status (5)

Country Link
US (1) US10546756B2 (ko)
KR (1) KR102535484B1 (ko)
CN (1) CN109997212B (ko)
TW (1) TW201830522A (ko)
WO (1) WO2018102088A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023069120A1 (en) * 2021-10-22 2023-04-27 Lam Research Corporation In situ declogging in plasma etching

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140213059A1 (en) * 2013-01-31 2014-07-31 Kenny Linh Doan Boron-doped carbon-based hardmask etch processing
US20150099367A1 (en) 2013-10-07 2015-04-09 Applied Materials, Inc. Plasma etch processes for opening mask layers
US20160005602A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2942138B2 (ja) * 1994-03-22 1999-08-30 三菱電機株式会社 プラズマ処理装置及びプラズマ処理方法
US6040248A (en) 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6465159B1 (en) 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US6734096B2 (en) * 2002-01-17 2004-05-11 International Business Machines Corporation Fine-pitch device lithography using a sacrificial hardmask
US6936539B2 (en) * 2003-09-24 2005-08-30 Micron Technology, Inc. Antireflective coating for use during the manufacture of a semiconductor device
KR100670662B1 (ko) * 2003-11-28 2007-01-17 주식회사 하이닉스반도체 반도체소자 제조 방법
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
US7368394B2 (en) * 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
TWI455203B (zh) * 2007-05-03 2014-10-01 Lam Res Corp 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制
CN101809721B (zh) 2007-09-27 2013-03-06 朗姆研究公司 电介质蚀刻中的形貌控制
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
KR20100031962A (ko) * 2008-09-17 2010-03-25 삼성전자주식회사 카본계막 식각 방법 및 이를 이용한 콘택홀 형성방법
US20110079918A1 (en) * 2009-10-01 2011-04-07 Applied Materials, Inc. Plasma-based organic mask removal with silicon fluoride
CN102760685B (zh) * 2011-04-27 2015-01-21 中芯国际集成电路制造(上海)有限公司 铜互连线的刻蚀后处理方法
US20120289050A1 (en) 2011-05-09 2012-11-15 Chang-Ming Wu Method of etching trenches in a semiconductor substrate utilizing pulsed and fluorocarbon-free plasma
JP6257638B2 (ja) * 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
FR3000603B1 (fr) * 2012-12-28 2016-11-25 Commissariat Energie Atomique Procede de gravure anisotrope
US9165785B2 (en) * 2013-03-29 2015-10-20 Tokyo Electron Limited Reducing bowing bias in etching an oxide layer
JP6185305B2 (ja) * 2013-06-28 2017-08-23 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
US9418867B2 (en) * 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
CN105576018A (zh) * 2014-10-16 2016-05-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140213059A1 (en) * 2013-01-31 2014-07-31 Kenny Linh Doan Boron-doped carbon-based hardmask etch processing
US20150099367A1 (en) 2013-10-07 2015-04-09 Applied Materials, Inc. Plasma etch processes for opening mask layers
US20160005602A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process

Also Published As

Publication number Publication date
CN109997212A (zh) 2019-07-09
CN109997212B (zh) 2023-06-13
US10546756B2 (en) 2020-01-28
KR20190082970A (ko) 2019-07-10
US20180151386A1 (en) 2018-05-31
TW201830522A (zh) 2018-08-16
WO2018102088A1 (en) 2018-06-07

Similar Documents

Publication Publication Date Title
TWI774742B (zh) 矽氮化物之原子層蝕刻
US9224618B2 (en) Method to increase mask selectivity in ultra-high aspect ratio etches
US9673058B1 (en) Method for etching features in dielectric layers
US10658194B2 (en) Silicon-based deposition for semiconductor processing
KR101919641B1 (ko) 높은 에칭 레이트를 제공하기 위한 방법
CN107919264B (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
KR102615854B1 (ko) 다공성 로우-k (low-k) 유전체 에칭
US8946091B2 (en) Prevention of line bending and tilting for etch with tri-layer mask
KR102535484B1 (ko) 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법
US9418869B2 (en) Method to etch a tungsten containing layer
US9711359B2 (en) Shadow trim line edge roughness reduction
US8906248B2 (en) Silicon on insulator etch
WO2019108844A1 (en) Silicon oxide silicon nitride stack stair step etch
US20180108531A1 (en) High aspect ratio etch
WO2013088325A1 (en) Silicon nitride dry trim without top pulldown
KR102626483B1 (ko) 반도체 프로세싱을 위한 실리콘-기반 증착
US10600648B2 (en) Silicon-based deposition for semiconductor processing
WO2023215385A1 (en) Organochloride etch with passivation and profile control
US9852924B1 (en) Line edge roughness improvement with sidewall sputtering
WO2023091299A1 (en) Silicon etch with organochloride
KR20200108361A (ko) 비정질 탄소 층 개방 프로세스

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant