JP2009200459A - 硫黄系エッチャントを用いた炭素質層のプラズマエッチング - Google Patents

硫黄系エッチャントを用いた炭素質層のプラズマエッチング Download PDF

Info

Publication number
JP2009200459A
JP2009200459A JP2008129497A JP2008129497A JP2009200459A JP 2009200459 A JP2009200459 A JP 2009200459A JP 2008129497 A JP2008129497 A JP 2008129497A JP 2008129497 A JP2008129497 A JP 2008129497A JP 2009200459 A JP2009200459 A JP 2009200459A
Authority
JP
Japan
Prior art keywords
layer
etching
carbon
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008129497A
Other languages
English (en)
Inventor
Judy Wang
ワン ジュディー
Maa Shoomin
マー ショーミン
Chang-Lin Hsieh
リン ヒシエヒ チァン
Bryan Liao
リヤオ ブライアン
Jie Zhou
ジャオ ジエ
Hun Sang Kim
サング キム ハン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009200459A publication Critical patent/JP2009200459A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】分子酸素(O)と炭素・硫黄末端リガンドを含むガスとを含むエッチャントガス混合物を用いた炭素質層のエッチングである。
【解決手段】所定の実施形態において高RF周波ソースを用いることで高いエッチング速度を無機誘電体層に対しての高い選択性で達成する。所定の実施形態において、エッチャントガス混合物はCOSとOの2つの成分しか含まないが、その他の実施形態では分子窒素(N)、一酸化炭素(CO)又は二酸化炭素(CO)の少なくとも1つ等の追加のガスを更に用いて炭素質層をエッチングしてもよい。
【選択図】図1

Description

背景
1.分野
本発明の実施形態は電子機器製造業、特には炭素質層に特徴部をプラズマエッチングする方法に関する。
2.関連技術の説明
デバイスパターンの特徴部の寸法が100nmより小さくになるにつれて、特徴部に対しての限界寸法(CD)要件が、安定した再現性のあるデバイス性能にとってより重要な尺度となってくる。基板全体での限界寸法のムラの許容範囲もまた、特徴部の限界寸法の縮小に対応してきた。例えば、直径300mmの基板では、用途によっては平均して約80nmの目標限界寸法に対して10nm未満の3シグマが必要となる場合がある。
また、デバイス容量等の問題により縦寸法より横寸法の縮小化が進んだため、業界では高アスペクト比(High aspect ratio:HAR)が今や主流である。このような厳しい高アスペクト比や限界寸法制御に高エッチング選択性、側壁の滑らかさと高ツールスループットへの要求が加わることで、ハードウェア構成のプロセスウィンドウが非常に狭くなる場合がある。多くの場合、多数の処理ガスを組み合わせて複雑なエッチャントガス混合物とし、非常に高いRFバイアス電力等の極端なハードウェア設定を組み合わせることで側壁の不動態化、エッチング速度及びマスク選択性との間での微妙なバランスを達成するときにのみ、プロセスウィンドウは狭くなる。しかしながら、このような狭いプロセスウィンドウは既知の手段ではエッチング処理から取り除くことのできない性能限界に悩まされるのが常である。
概要
本発明の実施形態においては、分子酸素(O)と炭素・硫黄末端リガンドを含むガスとを含むエッチャントガス混合物での非晶質炭素等の炭素質層のエッチングについて記載する。所定の実施形態において、エッチャントガス混合物はハロゲン非含有であり、特定の実施形態において、エッチャントガス混合物はCOSとO等の2つしか成分を含まない。その他の実施形態においては、分子窒素(N)、一酸化炭素(CO)、二酸化炭素(CO)、オゾン(O)、水(HO)、過酸化水素(H)又はフルオロカーボンのうちの少なくとも1つ等の追加のガスを更にOと硫黄含有ガスに組み合わせて、炭素質層をエッチングしてもよい。
ある実施形態においては、COS:O体積流量約0.25〜約1.0に、約100MHzを越える周波数を有する容量結合高周波RF発生装置を用いてエネルギー供給し、コンタクトパターン等の高アスペクト比特徴部の側壁での湾曲を最低限に抑えながら、少なくとも30:1より高い、好ましくは50:1より高いマスキング無機誘電性キャップ層に対しての選択性でもって炭素質層のエッチングを行う。周波数が約60MHzより低い1つ以上の低周波RF発生装置を用いて更にCOS:Oエッチャントガス混合物にエネルギー供給し、特徴部限界寸法の均一性を更に微調整するのが有益である。
更に別の実施形態においては、プラズマを基板周縁部に近接した磁場強度とは異なる基板中央に近接した磁場強度に曝露しながら、炭素・硫黄末端リガンドを有するガスを含むエッチャントガス混合物を、基板中央に近接した第1ガス供給口と基板周縁部に近接した第2オリフィスとではガス流量を違えてプラズマエッチングチャンバに供給することで、炭素質層のエッチングの均一性を更に改善する。
多層マスクが基板層上に配置された非晶質炭素層と、非晶質炭素層上に配置された無機誘電性キャップ層と、無機誘電性キャップ層上方に配置されたパターン形成されたフォトレジスト層を含むある例示的な態様においては、まず最初に無機誘電性キャップ層をフルオロカーボンを含むエッチャントガス混合物でエッチングし、エッチング処理チャンバからハロゲンをパージし、非晶質炭素層をOと炭素・硫黄末端リガンドを有するガスとを含むエッチャントガス混合物でエッチングする。
その他の態様により、Oと混合された炭素・硫黄末端リガンドを有するガスを含むエッチャントガス混合物での炭素質層のエッチングを実行するように構成されたプラズマエッチング装置が得られる。更に別の態様により、このようなエッチング方法を、容量結合高周波プラズマエッチング装置で実行するための命令を格納しているコンピュータ可読性媒体を提供する。
詳細な説明
以下の本文においては、本発明を十分に理解するための多くの具体的な詳細を記載する。本発明がこれらの具体的な詳細事項がなくとも実践し得ることは当業者に明白である。その他の例においては、特定のリソグラフィでのパターン形成やエッチング技法という周知の構成については、本発明を不必要にわかりにくくすることを防ぐために、詳細には説明しない。本明細書を通して使用する「実施形態」とは、その実施形態に関連して記載される特定の構成、構造、材料、又は特徴が本発明の少なくとも1つの実施形態に含まれることを意味している。従って、本明細書においてあちこちで登場する「ある実施形態において・・・」という語句は、本発明における同じ実施形態を必ずしも指すわけではない。更に、特定の構成、構造、材料、又は特徴を1つ以上の実施形態において適したやり方で組み合わせてもよい。また、図で示した各種例示的な実施形態は単に説明上のものに過ぎず、必ずしも正確な縮尺で描かれたものではないことを理解しなくてはならない。
本願で使用の用語「上方」「下方」「〜の間」及び「上」とは、ある層のその他の層に対しての相対位置を意味している。このため、例えば、別の層の上方又は下方に堆積又は配置されたある層はその他の層と直接的に接触していても、或いはその間に1つ以上の層を有していてもよい。更に、複数の層の間に堆積又は配置されたある層はその複数の層と直接的に接触していても、或いはその間に1つ以上の層を有していてもよい。これと対照的に、第2層「上」の第1層は、第2層と接触している。加えて、ある層の別の層に対しての相対位置は、基板の絶対方向を考慮することなく、最初の基板に対してフィルムの堆積、改変及び除去作業を行うことを想定したものである。
図1は、本発明の一実施形態による、特徴部をエッチングするための方法100のフロー図である。方法100は製造処理中に基板に対して実行される。図2A〜2Fは図1に図示の作業手順の特定の態様にそって形成した特徴部を有する例示的な基板の断面図である。
図1の方法100は、支持体210上に基板層220を含む、図2Aに図示されるようなワークピースから開始する。一実施形態において、支持体210は半導体ウェハ、例えばこれに限定するものではないがケイ素、ゲルマニウム、又は一般的に知られているIII−V化合物半導体材料である。別の実施形態において、支持体210はガラス又はサファイア材料である。基板層220は概して誘導体層、例えば誘電率が約2.5の二酸化ケイ素を含む。その他の実施形態において、基板層220は約2.4以下の誘電率を有する低k材料、例えばこれに限定されるものではないが炭素ドープ酸化ケイ素を含む。基板層220が業界で一般的に知られている様々な材料の複数の誘電体、半導体、又は導体層を含み得ることも了解しなくてはならない。図2Aに図示の特定の実施形態において、基板層220はエッチストップ層215上にあり、エッチストップ層215は基板層220のエッチングで用いる処理に対して良好な選択性を示すことが知られている材料を含む。基板層220が二酸化ケイ素を含むある態様においては、エッチストップ層215は窒化ケイ素を含む。
更に図示されるように、非晶質炭素層225等の炭素質層が基板層220上方には形成される。本願で使用するところの炭素質層には、少なくとも20重量%の炭素を含む無機層が含まれる。この種の材料には典型的には50重量%より多い炭素を含む非晶質炭素と、少なくとも20重量%の炭素を含有する低k誘電体が含まれる。「炭素質」という分類から除外されるものには、総炭素含有量が20重量%未満の有機材料、例えば底面反射防止コーティング(BARC)層として一般的に使用されるもの等が含まれ、典型的にはポリアミド及びポリスルホンを含み、5重量%未満の炭素を有する。
炭素質層はスプレーオン及び/又はスピンオンコーティング法、熱堆積法(CVD)、又はプラズマ堆積法(PECVD)で形成することができる。図2Aに図示の実施形態において、非晶質炭素層225はCVD又はPECVDのいずれかを用いて堆積され、熱分解、黒鉛状、及びダイヤモンド様炭素に典型的な特性の掛け合わせであるフィルム特性を付与する結合状態sp1、sp2及びsp3を有する、少なくとも50重量%の炭素を含む炭素材料を構成している。堆積された炭素材料は様々な割合の複数の結合状態を含むことから、長距離秩序に欠き、このため「非晶質炭素」と称されるのが一般的である。特定の実施形態においては、非晶質炭素層225は炭化水素前駆体を用いてPECVD法で形成してもよく、炭化水素前駆体としてはこれに限定されるものではないが、メタン(CH)、プロピレン(C)、プロピン(C)、プロパン(C)、ブタン(C10)、ブチレン(C)、ブタジエン(C)、アセチレン(C)、トルエン(C(CCH))及びその混合物が挙げられる。非晶質炭素層225は窒素又はその他の添加物も含んでいてもよい。例示的な非晶質炭素材料は米国カリフォルニア州のアプライドマテリアル社から商標名アドバンスド・パターニングフィルム(APF)として市販されている。図示はしていないが、炭素重量%範囲の下限での別の実施形態においては、炭素質層は低k誘電体であり、例えばアプライドマテリアル社から商標名ブラック・ダイヤモンドで市販のものが挙げられる。
非晶質炭素層225は感光性ではなく、その代わりにプラズマエッチングでパターン形成することでその上の感光層のパターンを高い忠実度をもって再現している。非晶質炭素層225により慣用のハードマスク(ケイ素の窒化物又は酸化物を使用)に酷似したマスクに厚みが加わるが、非晶質炭素層225を感光層の除去に使用するものと同じ方法、例えば高周波Oプラズマ剥離法で容易に除去可能であることから、有利である。
形成する非晶質炭素層225の厚さは、続いて基板層220にパターン形成する際に使用する処理に対するその材料の耐性と、(非晶質炭素層のアスペクト比を制限する)炭素材料の構造的健全性に依存している。一実施形態において、非晶質炭素層225の厚さは、引き続いて層にアスペクト比5:1でエッチングされる特徴部の限界寸法の約5倍を超える。更なる実施形態において、非晶質炭素層の厚さの特徴部寸法に対しての比は1:1〜5:1である。このような比幅により十分な構造的健全性が得られ、パターン形成された非晶質炭素特徴部は続く処理中に崩壊しない。このような一実施形態においては、非晶質炭素層225の厚さは約100nm〜約1000nmである。厚さ約1.5〜2μmの二酸化ケイ素の基板層を含む特定の実施形態においては、非晶質炭素層の厚さは約700nm〜900nmである。
同じく図2Aで図示されるように、非晶質炭素層225は無機誘電性キャップ層230でキャップされている。無機誘電性キャップ層230は誘電性反射防止層(DARC)として機能する及び/又はスピンオン技法で塗布された、そのままでは非晶質炭素層225によく接着しない、続く有機フィルムの接着性を改善する。無機誘電性キャップ層230は単一のフィルム又は二酸化ケイ素、窒化ケイ素又は酸窒化ケイ素(SiON)の形態でケイ素、窒化物、酸素を含むフィルムの多層積層体であってもよい。組成及び厚さも微調整して反射を最低限とし、特徴部のフォトリソグラフィでのパターン形成中に使用する特定の波長でのコントラストを高くしてもよい。例示的な実施形態において、無機誘電性キャップ層230は厚さ約25nm〜100nmに形成される。
図2Aに更に図示されるように、多層マスク250にはフォトリソグラフィ作業後の、パターン形成されたフォトレジスト層240が含まれる。一部のフォトレジスト実施形態においては、有機BARCを無機誘電性キャップ層230上に適用し、フォトレジスト層のパターン形成中の光の反射を更に軽減する。BARCは典型的にはポリアミドとポリスルホンを含む。有機BARC(図示せず)と無機誘電性キャップ層230の双方を非晶質炭素層225の上方に形成する必要は通常ないが、このような多層マスクは依然として実践することができる。
非晶質炭素層225上方にパターン形成されるフォトレジスト240はいずれの慣用の手段を用いてパターン形成してもよく、例えば193nmフォトリソグラフィと適切な既知のレジスト組成物を用いる。一実施形態において、パターン形成されたフォトレジスト240は約80nm未満の限界寸法を有するコンタクト開口部241を含む。特定の態様において、パターン形成されたフォトレジスト240は約60nm〜80nmの限界寸法を有するコンタクト開口部を形成している。
図1に戻るが、工程120で、無機誘電性キャップ層230を図2で更に図示されるようにエッチングする。ある有利な実施形態において、無機誘電性キャップ層230は、これに限定されるものではないがCF及びCHF等のフルオロカーボン等のガスを含む慣用のハロゲン系化学物質を用いてプラズマエッチングされる。これらの一方又は双方を含む混合物をNと組み合わせても、組み合わせなくともよい。更なる実施形態においては、フルオロカーボンエッチャントガスに、約50MHz未満の周波数、例えば13.56MHz及び2MHzの帯域を有する低周波「バイアス電力」RF発生装置を用いてエネルギー供給する。更なる実施形態においては、約100MHzを越える周波数を有する高周波「ソース電力」RFの発生を無機誘電性キャップ層230への開口時には選択的に避け、エッチングバイアスを最低限に抑える。BARC層がある場合は、BARC層も実質的に同様のプラズマエッチング処理でプラズマエッチングしてもよく、有機層と無機誘電性キャップ層230の双方を単一のエッチング工程でエッチングしてもよい。工程120の持続時間はキャップ層の厚さに依存するが、一実施形態において、100nmの無機誘電性キャップ層230は約100秒未満で除去される。
図1に図示の実施形態では、工程125において、非晶質炭素層225のエッチングに先立って、無機反射防止キャップ層のエッチングに使用したフルオロカーボン系の化学物質をエッチング処理チャンバから工程125でパージする。特定の実施形態においては、非晶質炭素層225のエッチングに進むまえに高体積流量のアルゴン等の不活性物質をエッチングチャンバに導入して、ハロゲン系ガスをエッチングチャンバからパージすることで、残留ハロゲンがエッチングチャンバ内で実行する次の処理に持ち越されないように防止する。非晶質炭素層225のエッチング中にフッ素が存在すると、状況によっては、非晶質炭素層225が損傷され、製造したデバイスのパラメータにズレが発生する可能性があることが判明している。
工程130で、非晶質炭素層225をOと、炭素・硫黄末端リガンドを含むガスとを含むエッチャントガス混合物でプラズマエッチングする。炭素・硫黄末端リガンドを有する分子は、SF等の中央に硫黄を有するものとは区別される。炭素・硫黄末端リガンドを有する分子は、炭素原子に結合した末端硫黄原子を含む。次に、その炭素原子は骨格の一部としてのその他の原子に更に結合している。図5は炭素・硫黄末端リガンドを含む多数の分子を図示しており、炭素・硫黄末端リガンドを点線で囲んでいる。図5に図示のR、R´、R´´、R´´´基はそれぞれアルキル基、アリール基又は水素原子を表す。図5に図示のこれらの分子は非対称炭素・硫黄末端リガンドを含み、無極性分子となる。但し、対称炭素・硫黄末端リガンドを有する、二硫化炭素(CS)等のその他の分子も、炭素質層のエッチングという目的には非常に良い。特定の実施形態において、炭素・硫黄末端リガンドを含むガスは一般化学式(COS)xを有し、好ましい実施形態において、炭素・硫黄末端リガンドを有するガスは硫化カルボニルCOSである。
炭素・硫黄末端リガンドを含むCOS等のガスをOと混合すると、非晶質炭素フィルムをエッチングする際に有利な広いプロセスウィンドウが得られることが判明している。これは、約100MHzを越える高周波で稼動する容量結合エッチング装置でプラズマへとエネルギー供給した場合に特にあてはまることが判明している。COS:Oエッチャントガスの広いプロセスウィンドウと高周波での励起とが組み合わさることで、エッチング速度と基板全体でのCD均一性の幅広い微調整が可能となる。二酸化硫黄(SO)及び硫化水素(HS)等、他にもハロゲン非含有硫黄含有ガスは多数あるのだが、炭素・硫黄末端リガンドを含むガス、特にはCOS等の低沸点のものが炭素質層のエッチングに有利であることが判っている。
高周波RFソース電力(約100MHzを越える)でエネルギー供給した場合、Oは非晶質炭素層225を1μm/分をはるかに超える非常に速い速度でエッチング可能であるが、側壁は大きく湾曲し、無機誘電性キャップ層230等のマスキングフィルムに対しての選択性が低くなる。これらの欠点は、炭素・硫黄末端リガンドを有するCOS等のガスの添加により大きく改善されることが判明しており、このガスは、Oの不在下で高周波RFソースによりエネルギー供給しても、感知できるほどの速度では非晶質炭素をエッチングしない。このため、エッチャントガス混合物が主にOとCOSから成る特定の実施形態においては、絶妙な2つの成分の(酸化剤と不動態化剤)混合物により、広いプロセスウィンドウを有する、ロバストな非晶質炭素エッチング処理が得られる。これとは対照的に、代替としての硫黄含有ガス、例えばSO及びHSでは、同様の広いプロセスウィンドウが得られるとは判明していない。従って、SO及びHS等のガスでは、基板直径全体に亘って、同じ非晶質炭素エッチング速度、選択性又はコンタクト底面CDの均一性を達成することが期待できない。
COS:Oエッチャント混合物の高周波RF励起を含む実施形態では、特に高い非晶質炭素エッチング速度と、無機誘電性キャップ層230等の材料に対しての高い選択性が得られると判明している。本願において、高周波RFとは、約100MHzを越えるRF周波数での「ソース」電力を意味する。約60MHz未満のRF周波数での低周波「ソース」電力では、通常、高周波ソースで行う場合よりもOでの非晶質炭素層のエッチング速度が顕著に低くなる。これは、容量結合及び誘導結合システムに一様に当てはまることが判明している。これらの低RF周波数に関連した低エッチング速度では例えば高O流量と高RF電力等による補正が必要となってくる。本願に記載されるように、低RF周波数での高O流量と高電力の双方は、無機誘電性キャップ層230等の材料に対しての高選択性を維持するのに有害となり、良好なCD制御における障害にもなる。例えば、50MHzソースを用いるエッチング装置、例えばアプライドマテリアル社のイーマックス・システム(eMax system)では、同じようなRF電力レベルでのアプライドマテリアル社のイネーブラ(Enabler)の162MHz容量結合ソースの場合よりもOでの非晶質炭素エッチング速度は約2.5〜3倍低くなる。低RF周波数であっても、より高いO流量と2.5倍高いRF電力により効率の低さと得られる非晶質炭素エッチング速度の低下は部分的に相殺されるものの、これは選択性の大きな低下と(11:1HARコンタクトエッチングに必要な、無機誘電性キャップ層230に対しての選択性30:1をはるかに下回っている)、基板全体の底面CD均一性の著しい低下(中心から縁部にかけて、少なくとも13nmの3シグマ)を代償としたものである。従って、本願に記載のCOS:Oエッチャントガス混合物は通常、どんな周波数のシステムにも応用可能であり、OとCO又はOとSO等のその他のガス混合物に比較すると改善が見られるものの、COS:Oエッチャントガス混合物を高周波RFと組み合わせた実施形態では、より優れたプロセスウィンドウが得られる。
特定の実施形態において、エッチング工程130はエッチングチャンバに体積ガス流量比が約0.25:1〜1:1のCOS:Oを導入することを含む。混合比に依存するプロセストレンドが図4に図示されている。このようなCOS、Oの混合比では高周波ソース電力でエネルギー供給した場合に、800nm/秒から約1.2μm/秒もの速さの非晶質炭素エッチング速度が得られる。エッチャント混合物におけるCOSの割合が比較的高いと幅広い微調整が可能となるが、これはCOSは約10%未満といった非常に低い希釈にと限定されてはいないからである。このように、COS:O混合物は基板全体の均一性の問題、例えば局所的な種の枯渇等につながる効果にあまり関係していない。約0.25:1〜1:1のCOS:Oガス比が30:1をはるかに超える、典型的には少なくとも50:1であり100:1に近い、二酸化ケイ素、窒化ケイ素又はSiONに対しての非晶質炭素の非常に高い選択性を付与することも判明している。SO等の代替としての硫黄含有ガスでは、このような高い選択性は見出されていない。特定の理論により限定するものではないが、炭素・硫黄末端リガンドの存在により、二酸化ケイ素、窒化ケイ素又はSiON等の非炭素質表面上に、SO等の炭素・硫黄末端リガンドを欠いたエッチャント混合物では得られない不動態種が供給されるため有利である。また、COS:Oエッチャントを約100MHzを越える高周波でエネルギー供給することで、基板上で高バイアス電圧の不在下で不動態化を行うに十分な量の種が得られる。このような混合比での高いエッチング速度、高い選択性、側壁の湾曲の軽減が組み合わさって広いプロセスウィンドウの基礎となり、次にこの広いプロセスウィンドウを調節することで優れた均一性とエッチング装置の高いスループットを達成することができる。
COS:Oエッチャントガス混合物の総流量は、図4で更に示されるように、非晶質炭素エッチング速度を上昇させる一方で、HARコンタクトBCDを低下させる傾向がある。エッチングチャンバの構成により、総流量は約25sccm〜1000sccm、又はそれ以上となる。COS:O流量比が約0.30:1の特定の実施形態において、総流量は約150sccmである。最適なCOS:O流量比と総流量とは線形の依存関係にはない。エッチング装置におけるCOS/Oエッチャントガスの総流量が非常に高いとプロセスウィンドウが低下する傾向があるが、これはO流量が高くなると特徴部のプロファイルとCDを維持するためにCOS:O比を上げるからである。例えば、より高いO流量を用いると、特徴部の側壁の過剰な湾曲を防止するために高いCOS流量が必要となる。総流量が非常に高くなると基板直径全体での不均一性が上昇するため、広いプロセスウィンドウを維持するためには、十分に高い非晶質炭素エッチング速度がその他の処理パラメータにより低総COS/O流量で得られるならば、比較的低い総流量であることが望ましい。
2成分のCOS:Oエッチャント混合物での実施形態では非晶質炭素層におけるHARコンタクトの側壁の湾曲が大きく軽減されるが、側壁プロファイルは、エッチャント混合物にその他の種を導入することで更に改善することができる。特定の実施形態において、エッチャントガス混合物は更にN、CO、CO、O、HO、Hの少なくとも1つを含む。また、代替の実施形態においては、N供給源をその他の窒素供給源に置き換えてもよく、これらに限定するものではないが窒素酸化物(NO、NO等)又はアンモニア(NH)等が挙げられることにも留意されたい。エッチャントガス混合物は更にAr、He又はXe等の不活性物質を含んでいてもよい。
その他所定の実施形態において、COSとOの双方を含む第1エッチャントガス混合物は非晶質炭素層エッチングの第1部で使用され、次にCOSを欠いた第2エッチャントガス混合物を非晶質炭素層エッチングの第2部に用いる。ある特定の実施形態においては、OとCOSの2成分エッチャント混合物を非晶質炭素層エッチングの第1部で利用し、次に、OとNの第2の2成分エッチングガス混合物を非晶質炭素層エッチングの第2部に利用する。このような処理は非常に高いアスペクト比でのエッチングに特に有利であり、第1部の間にアスペクト比は約5:1〜7:1に達し、次に第2エッチャントガス混合物へと移行してエッチング第2部を継続し、アスペクト比は8:1以上に達し、側壁のプロファイルが調整される。このような多段階処理により、アスペクト比が約10:1でほぼ垂直のコンタクト側壁が得られ、同時に無機誘電性材料に対して30:1を超える累積的な選択性が依然として得られることが判明している。当然のことながら、その他の実施形態にはこのテーマに沿っての変更も含まれ、非晶質炭素層のエッチングの進行中、COSガス成分は、N、CO又はCO等の代替成分をパルス供給しながら又はすることなく、パルス供給される。
処理圧力は上昇すると、図4に示されるように、非晶質炭素エッチング速度を上昇させる一方でHARコンタクト底面限界寸法(BCD)を低下させることが知られている。実施形態によって、処理圧力は約8mT〜50mTと広い範囲で異なり、本願に記載されるように、COS:Oガス比が0.25:1〜1:1であり適度に低い約500sccm未満の総COS/Oガス混合物流量であり、高周波容量結合システムでエネルギー供給する場合は、好ましくは処理圧力は約20mTである。
高周波RFソース電力によってエネルギー供給された、炭素・硫黄末端リガンドを含むエッチャントガス混合物の広いプロセスウィンドウは、約60MHz未満の少なくとも1つの低周波バイアス電力の更なる追加による非晶質炭素層エッチングの性能の更なる強化を可能にする。図4に図示されるように、HARコンタクトは低RF周波数領域における電力レベルと周波数の双方に依存するBCDを有することが判明している。周波数13.56MHzでの電力を上昇させることでBCDは低下する傾向にあり、一方、周波数2MHzでの電力の上昇はBCDを上昇させる傾向にあり、どちらの上昇も湾曲度に影響をほとんど与えることなく、非晶質炭素エッチング速度を上昇させる効果がある。このことから、周波数2MHzと13.56MHzの双方のRF電力を、独立制御された電力レベルで供給可能なプラズマエッチング装置では、高周波RFソースと組み合わせた場合に非常に微調整しやすいBCDが得られ、有利である。所定の実施形態においては、低周波バイアス電力と高周波ソース電力比は約0.25〜約1:1である。特定の実施形態においては、低周波バイアス電力を供給し、エッチャントガスを高周波ソース電力のそれの約半分未満の電力レベルでエネルギー供給する。COS:Oの比が約0.25:1〜約1:1、適度な低総流量と処理圧力約20mTを用いたある態様において、低周波バイアス電力の高周波ソース電力に対する比は約0.25〜約0.5であり、総電力は2000W〜4000Wであり、チャンバ構成と基板寸法に依存し、200mm基板を収容するチャンバに典型的な総電力は少なくとも2000Wである。
COS:Oの広いプロセスウィンドウにより、基板直径全体に亘っての中性及び帯電したプラズマ種のいずれか又は双方の密度を変えるハードウェア構成での更なる処理の微調整が可能となる。例えば、基板直径全体で強度が異なる磁場を印加する荷電種微調整ユニット(CSTU)を含むプラズマエッチング装置においては、BCDの均一性が変化することで、基板直径全体での「W」又は「M」特性が低下する。「W」又は「M」とは、基板直径全体での非晶質炭素エッチング性能における変動を示し、例えば、BCDの率は基板半径の中間でのものと比較すると基板中心部と縁部で高く又は低くなる。ウェハ直径全体に亘ってガスをエッチングチャンバ内に異なる体積流量で導入可能な中性種微調整ユニット(NSTU)を非晶質炭素層のエッチング中に更に用いることで、HARコンタクトのBCDを拡大してもよい。組み合わせて使用した場合、CTSUの特定の設定はNSTUの特定の設定と上手く作用し、高い内径・外径ガス流量比は、比較的高い内外磁場比から恩恵を受けることとなる。例えば、COS:Oエッチャントガス混合物を内側のみのNSTU設定で実行した場合の態様においては、混合内径・外径磁束比が内径約12G:外径8GのCSTUがウェハ全体のエッチングの均一性を改善し、「W」又は「M」非晶質炭素層エッチング特性を低下させることが判明した。
更に、プロセスウィンドウは基板温度に関しても広く、室温であっても良好な非晶質炭素エッチング速度と無機誘電性材料に対しての良好な選択性が可能であることに更に留意されたい。図4に図示されるように、非晶質炭素層のエッチング速度は基板温度を制御することで上昇させることができる。更に、複数の温度制御ゾーンを設けることが可能なエッチング装置においては基板直径全体での非晶質炭素エッチング速度を更に微調整し、均一性を改善することが可能である。特定の実施形態においては、例えば、基板中心に近い点での基板温度を第1設定点、例えば20℃に制御し、その一方で基板周縁部に近接した点での基板温度を第2設定点、例えば25℃に制御して、基板全体での非晶質炭素層のエッチング速度の均一性を改善する。
また、COS:Oエッチャントガス混合物の反応性は比較的低いことから、エッチングされた特徴部の側壁に堆積された不均一な重合体によって引き起こされる粗さが軽減され、有利である。同様に、COS:Oエッチャントガスは、N等の追加のガスと混合しても、チャンバの汚染度が極めて低いことが判明している。これは、プラズマエッチングチャンバが炭化ケイ素(SiC)又はイットリウム材料コーティングを含む場合に特に当てはまることが判っている。COS:O混合物を用いた特定の非晶質炭素エッチング処理は約30RF時間に亘って行った。長時間に亘る処理の実行後も粒子数は低いままであり、プラズマエッチングチャンバの検査において、エッチング残留物は殆ど見られなかった。反応性の低さはチャンバ洗浄の頻度を下げ、設備の生産性を上昇させるものと期待される。
図1に戻るが、工程140で、本発明の実施形態によってパターン形成された多層マスクを用いてメインエッチングを行う。メインエッチングでは多層マスクを用いて、図2Eで更に図示するように、非晶質炭素層225に形成された特徴部を基板層220へと忠実に写す。フィルムに応じて、様々な既知のエッチング技法と化学反応をメインエッチングで用いることができ、例えばこれに限定されるものではないが窒化物又は酸化物基板フィルムのフルオロカーボン系プラズマエッチングが挙げられる。ある実施形態においては、基板フィルムを多層マスクを用いて80nm未満の特徴部で画成する。
工程150で、灰化又は剥離処理を用いて多層マスクの残分を除去する。ある実施形態においては、工程120、125、130、140で利用したものと同じエッチングチャンバ内で剥離処理をインシチュで行う。別の実施形態においては高流量のOを約100MHzを越える少なくとも電力300Wの高周波ソースでもって、低周波バイアス電力は150W未満としてエネルギー供給する。本願に記載のように、高周波ソース電力により、非晶質炭素層225は約60MHz未満等の低RF周波数では不可能な速度で侵食される。インシチュでの剥離により、基板層220のフルオロカーボン系のメインエッチングによって生じた履歴効果を除去することで、次の基板に方法100を繰り返すためのチャンバの準備もできる。
非晶質炭素剥離工程150の後、図1の方法100は実質的に完了となり、おそらくは工程130、140、150で利用したものと同じエッチングチャンバでの更に別の処理へと基板を供することができる状態となる。特定の実施形態においては、図2Fに図示されるように、エッチストップ層205を工程150で利用したものと同じエッチングチャンバでエッチングし、非晶質炭素層225が除去された後のその下の層を露出させる。その他の実施形態においては、図1の方法100の完了後に、当該分野の慣用の方法に従って基板を処理する。
別の実施形態においては、COS:Oエッチャントガス混合物を用いて、図1と図2A〜2Fで図示の実施形態の非晶質炭素層225よりも低い重量%の炭素を含む炭素質層をエッチングする。このような炭素質層にはCVD又はスプレーオン/スピンオンコーティング法で堆積可能な、少なくとも20重量%の炭素を有する低k誘電性フィルムが含まれる。非晶質炭素フィルムとの関連で本願で開示の処理条件は、通常、比較的炭素含有量の低い炭素質フィルムを用いたこれらの代替実施形態にも適用可能であるが、フルオロカーボンのCOS:Oエッチャント混合物への添加は、炭素含有量が低下し、ケイ素又は酸素原子が材料組成において占める割合が上昇するにつれ有益となる。炭素質層のそれから単なるドープされた酸化物へと層材料が移行するにつれ、工程140に関連して説明したもの等の慣用のエッチング処理が適用可能となる。
一実施形態において、エッチャントガス混合物を複数のRF周波数でエネルギー供給可能なプラズマエッチング装置は、例えば米国カリフォルニア州のアプライドマテリアル社製のイネーブラ・エッチングチャンバである。別の実施形態において、方法100のプラズマエッチング処理は同じく米国カリフォルニア州のアプライドマテリアル社製のMxP、MxP+、スーパーE又はE−MAXチャンバ(全て商標名)等の磁場強化型反応性イオンエッチング装置(MERIE)で実行する。当該分野で既知のその他のタイプの高性能エッチングチャンバも使用可能であり、例えば、プラズマを誘導技法を用いて形成するチャンバが挙げられる。
例示的な多重周波数エッチングシステム300の断面図が図3に図示されている。システム300は接地チャンバ305を含む。基板310は開口部315を通してローディングされ、温度制御されたカソード320に固締される。特定の実施形態において、温度制御されたカソード320は複数のゾーンを含み、各ゾーンは独立してある温度設定点へと制御可能であり、基板310の中心に近接した第1熱ゾーン322及び基板310の周縁部に近接した第2熱ゾーン321等の温度設定点を備えている。処理ガスはガス供給源345、346、347、348から各質量流量制御装置349を経由してチャンバ305内部に供給される。所定の実施形態においては、NSTU350により制御可能な内径・外径流量比が得られ、これによりCOS/Oエッチャントガス混合物等の処理ガスが基板310の中心部又は基板310の周縁部に近接して高流量で供給され、基板310の直径全体での中性種濃度が微調整される。チャンバ305はターボ分子ポンプを含む高容量真空ポンプ群355に連結された排気弁351を介して5mTorr〜500mTorrに排気される。
RF電力を印加すると、基板310上方のチャンバ処理領域にプラズマが形成される。バイアス電力RF発生装置325がカソード320に連結されている。バイアス電力RF発生装置325によりバイアス電力が供給され、プラズマは更にエネルギー供給される。バイアス電力RF発生装置325は典型的には約2MHz〜60MHzの低周波数を有しており、特定の実施形態においては13.56MHzの帯域にある。所定の実施形態において、プラズマエッチングシステム300は周波数約2MHz帯域の第3のバイアス電力RF発生装置326を含み、バイアス電力RF発生装置325と同じRF整合回路327に接続されている。ソース電力RF発生装置330は整合回路(図示せず)を介してプラズマ発生素子335へと連結されており、素子はカソード320に対して陽極であり、高周波ソース電力を供給してプラズマにエネルギー供給する。ソースRF発生装置330は典型的にはバイアスRF発生装置325よりも高周波、例えば100〜180MHzを有し、特定の実施形態においては162MHz帯域にある。バイアス電力は基板310上のバイアス電圧に影響し、基板310へのイオン衝突を制御する。これに対してソース電力は基板310のバイアスには比較的関係なく、プラズマ密度に影響を与える。そこからプラズマが発生するところの一連の既定の投入ガスのエッチング性能はプラズマ密度とウェハバイアスによって著しく異なるため、プラズマにエネルギー供給する電力の量と周波数の双方が重要となることに留意する必要がある。基板直径は時と共に150mm、200mm、300mm等と進歩してきたため、当該分野では、プラズマエッチングシステムのソース及びバイアス電力を基板面積に対して正規化するのが一般的である。
特定の実施形態においては、プラズマエッチングチャンバには内径・外径磁場強度比を制御し、基板310の直径全体に亘るプラズマの帯電種の密度を制御するためのCSTUが含まれる。ある例示的なCSTUには基板310の周縁部に近接した磁場コイル340と、基板310の中心に近接した磁場コイル341が含まれ、チャンバ305の内側ゾーンと外側ゾーンのいずれか又は双方に0G〜約25Gの磁場を形成する。
本発明の実施形態において、システム300はその他の処理パラメータのみならず低周波バイアス電力、高周波ソース電力、CSTU内外磁場比、エッチャントガス流量及びNSTU内外流量比、処理圧力及びカソード温度を制御するための制御装置370によってコンピュータ制御されている。制御装置370は様々なサブプロセッサ及び副制御装置を制御するための、工業環境で使用可能ないずれの汎用データ処理システムの一形態であってもよい。通常、制御装置370はその他の一般的なコンポーネントでもとりわけメモリ372及び入力/出力回路(I/O)回路374と通信する中央演算処理装置(CPU)372を含む。CPU372によって実行されるソフトウェアコマンドにより、システム300は例えば基板のプラズマエッチングチャンバへのローディング、Oと炭素・硫黄末端リガンドを有するCOS等のガスを含むエッチャントガス混合物のプラズマエッチングチャンバへの導入、及びエッチャントガス混合物のプラズマでの炭素質層のエッチングを行う。本発明による、非晶質炭素層上方の無機誘電性キャップ層のエッチング、非晶質炭素層下方の二酸化ケイ素誘電体のエッチング等のその他の処理も制御装置370で実行することができる。本発明の一部はコンピュータプログラム製品として提供することもでき、命令が保存されたコンピュータ可読性媒体を含んでいてもよく、本発明に従って、基板をプラズマエッチングチャンバにローディングし、O及び炭素・硫黄末端リガンドを含むCOS等のガスを含むエッチャントガス混合物をプラズマエッチングチャンバに導入し、エッチャントガス混合物のプラズマで非晶質炭素層をエッチングするようにコンピュータ(又はその他の電子デバイス)をプログラムする際に使用してもよい。コンピュータ可読性媒体には、これに限定されるものではないが、フロッピー(登録商標)ディスク、光学ディスク、CD−ROM(コンパクトディスク読取専用メモリ)、及び光磁気ディスク、ROM(読取専用メモリ)、RAM(ランダムアクセスメモリ)、EPROM(消去・プログラム可能型読取専用メモリ)、EEPROM(電気的消去・プログラム可能型読取専用メモリ)、磁気又は光学カード、フラッシュメモリ、又は電子的な指示の保存に適したその他の一般的に既知であるタイプのコンピュータ可読性記録媒体が含まれる。更に、本発明はコンピュータプログラム製品を含むプログラムファイルとしてもダウンロード可能であり、プログラムファイルはリモートコンピュータから要求しているコンピュータへと送られる。
本発明を構造的な構成及び/又は方法論的動作に特異な言い回しでもって説明してきたが、特許請求の範囲で定められる本発明は記載の特定の構成又は動作に必ずしも限定されないことを理解すべきである。開示の特定の構成及び動作は、本発明を限定するのではなくむしろ詳細に説明することを意図しており、権利請求された発明の特に洗練された実施形態と理解すべきである。
特定の実施形態による、多層マスクを用いて特徴部をエッチングするための方法を示すフロー図であり、多層マスクの非晶質炭素層をOと混合したCOSでエッチングしている。 ある実施形態による、図1の方法の例示的な実行を表す断面図であり、特徴部はCOSとOを含むエッチャントガス混合物を用いて多層マスクにエッチングされる。 ある実施形態によるエッチング方法を実行するように構成されたプラズマエッチング装置の断面図である。 特定の実施形態による、COSとOを含むエッチャント混合物を用いて非晶質炭素層をエッチングするための方法のプロセストレンドサマリを示す図である。 特定の実施形態による、炭素・硫黄末端リガンドを有する分子群を示す図である。

Claims (15)

  1. 少なくとも20重量%の炭素を含む炭素質層に特徴部をエッチングする方法であり、
    炭素質層上方に配置されたパターン形成されたフォトレジスト層を含む基板を設け、
    基板をプラズマエッチングチャンバ内にローディングし、
    と、炭素・硫黄末端リガンドを含むガスとを含むエッチャントガス混合物をプラズマエッチングチャンバに導入し、
    炭素質層をエッチャントガス混合物のプラズマでエッチングすることを含む方法。
  2. 100MHzを越えるRF周波数を有する高周波RFソースを用いてエッチングガス混合物にエネルギー供給する請求項1記載の方法。
  3. 炭素質層が少なくとも50重量%の炭素を含む非晶質炭素層である請求項1記載の方法。
  4. 炭素・硫黄末端リガンドを含むガスがCOS、SCOR、SCORNR、SCR、SCRNR、SC(NR)、SCNR、SC(OR)から成る群から選択され、Rがアルキル基、アリール基、又は水素の1つである請求項1記載の方法。
  5. 炭素・硫黄末端リガンドを含むガスが硫化カルボニル(COS)であり、COS:O体積流量比が約0.25〜約1.0である請求項1記載の方法。
  6. エッチャントガス混合物が主にCOSとOから構成される請求項1記載の方法。
  7. エッチャントガス混合物に、100MHzを越える周波数を有する高周波RF発生装置と、60MHz未満の周波数を有する1つ以上の低周波RF発生装置を用いてエネルギー供給し、1つ以上の低周波発生装置により高周波RF発生装置の半分未満の電力をプラズマに送る請求項1記載の方法。
  8. プラズマを、基板周縁部に近接した磁場よりも高い基板中央に近接した磁場に曝露しながら、エッチャントガス混合物を、基板中央に近接した第1ガス供給口では基板周縁部に近接した第2供給口での流量より高いガス流量でプラズマエッチングチャンバに供給することで、炭素質層のエッチングの均一性を改善する請求項1記載の方法。
  9. 炭素・硫黄末端リガンドを含むガスを含むプラズマでもって炭素質層を第1エッチング工程においてエッチングし、
    第1エッチング工程に続く第2エッチング工程で炭素質層をエッチングすることを含み、
    第2エッチング工程ではNとOを含み、炭素・硫黄末端リガンドを含むガスを実質的に非含有であるエッチャントガス混合物のプラズマを供給する請求項1記載の方法。
  10. 少なくとも50重量%の炭素を含む非晶質炭素層をエッチングする方法であり、
    基板層上に多層マスクを含む基板を設けることを含み、
    多層マスクが、
    基板層上に配置された非晶質炭素層と、
    非晶質炭素層上に配置された無機誘電性キャップ層と、
    無機誘電性キャップ層上方に配置されたパターン形成されたフォトレジスト層を含み、
    基板をプラズマエッチングチャンバ内にローディングし、
    と、炭素・硫黄末端リガンドを含むガスとを含むエッチャントガス混合物をプラズマエッチングチャンバに導入し、
    非晶質炭素質層をエッチャントガス混合物のプラズマでエッチングすることを含む方法。
  11. 100MHzを越えるRF周波数を有する高周波RFソースを用いてエッチングガス混合物にエネルギー供給する請求項10記載の方法。
  12. 炭素・硫黄末端リガンドを含むガスが硫化カルボニル(COS)であり、COS:O体積流量比が約0.25〜約1.0である請求項10記載の方法。
  13. 一連の機械による実行が可能な命令が保存されたコンピュータ可読性媒体であり、データ処理システムで実行した場合に、システムに、
    少なくとも50重量%の炭素を含む非晶質炭素層上方に配置されたパターン形成されたフォトレジスト層を含む基板を設け、
    基板をプラズマエッチングチャンバ内にローディングし、
    と、炭素・硫黄末端リガンドを含むガスとを含むエッチャントガス混合物をプラズマエッチングチャンバに導入し、
    非晶質炭素層をエッチャントガス混合物のプラズマでエッチングすることを含む方法を実行させるコンピュータ可読性媒体。
  14. 100MHzを越えるRF周波数を有する高周波RFソースを用いてエッチングガス混合物にエネルギー供給する請求項13記載のコンピュータ可読性媒体。
  15. 炭素・硫黄末端リガンドを含むガスが硫化カルボニル(COS)であり、命令によりシステムがCOSをプラズマエッチングチャンバに体積流量比約0.25〜約1.0で導入する請求項13記載のコンピュータ可読性媒体。
JP2008129497A 2008-02-21 2008-05-16 硫黄系エッチャントを用いた炭素質層のプラズマエッチング Pending JP2009200459A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/035,289 US8133819B2 (en) 2008-02-21 2008-02-21 Plasma etching carbonaceous layers with sulfur-based etchants

Publications (1)

Publication Number Publication Date
JP2009200459A true JP2009200459A (ja) 2009-09-03

Family

ID=40997299

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008129497A Pending JP2009200459A (ja) 2008-02-21 2008-05-16 硫黄系エッチャントを用いた炭素質層のプラズマエッチング

Country Status (6)

Country Link
US (1) US8133819B2 (ja)
JP (1) JP2009200459A (ja)
KR (1) KR101019928B1 (ja)
CN (1) CN101515542B (ja)
SG (1) SG155104A1 (ja)
TW (1) TW200937517A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012028603A (ja) * 2010-07-26 2012-02-09 Tokyo Electron Ltd プラズマ処理方法および記憶媒体
CN108711552A (zh) * 2017-04-10 2018-10-26 朗姆研究公司 预防图案坍塌的后处理
JP2018200925A (ja) * 2017-05-25 2018-12-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
WO2023234305A1 (ja) * 2022-05-31 2023-12-07 株式会社レゾナック エッチング方法

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101809721B (zh) * 2007-09-27 2013-03-06 朗姆研究公司 电介质蚀刻中的形貌控制
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
JP5486883B2 (ja) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
JP2011066164A (ja) * 2009-09-16 2011-03-31 Tokyo Electron Ltd マスクパターンの形成方法及び半導体装置の製造方法
JP5606060B2 (ja) * 2009-12-24 2014-10-15 東京エレクトロン株式会社 エッチング方法及びエッチング処理装置
CN101819933A (zh) * 2010-02-11 2010-09-01 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
JP5674375B2 (ja) * 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US8592321B2 (en) * 2011-06-08 2013-11-26 United Microelectronics Corp. Method for fabricating an aperture
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US8778207B2 (en) 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
FR3000603B1 (fr) * 2012-12-28 2016-11-25 Commissariat Energie Atomique Procede de gravure anisotrope
CN103035508B (zh) * 2012-12-31 2016-09-07 中微半导体设备(上海)有限公司 特征尺寸收缩方法
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US9040430B2 (en) * 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
JP6185305B2 (ja) * 2013-06-28 2017-08-23 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9320387B2 (en) * 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9305804B2 (en) * 2013-10-07 2016-04-05 Applied Materials, Inc. Plasma etch processes for opening mask layers
US9390923B2 (en) * 2014-07-03 2016-07-12 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process
CN109997212B (zh) 2016-11-29 2023-06-13 朗姆研究公司 在有机层蚀刻中生成竖直轮廓的方法
GB201620680D0 (en) * 2016-12-05 2017-01-18 Spts Technologies Ltd Method of smoothing a surface
US11043372B2 (en) 2017-06-08 2021-06-22 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
KR102016615B1 (ko) * 2017-09-14 2019-08-30 (주)코미코 내플라즈마 특성이 향상된 플라즈마 에칭 장치용 부재 및 그 제조 방법
KR20200130490A (ko) * 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
US11603591B2 (en) 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
TW202024047A (zh) * 2018-10-26 2020-07-01 日商關東電化工業股份有限公司 含有含硫氟碳化合物之乾蝕刻氣體組成物及使用其之乾蝕刻方法
US11842897B2 (en) 2018-10-26 2023-12-12 Applied Materials, Inc. High density carbon films for patterning applications
US11264249B2 (en) 2018-12-18 2022-03-01 Mattson Technology, Inc. Carbon containing hardmask removal process using sulfur containing process gas
JP2022534885A (ja) 2019-05-24 2022-08-04 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US20220199410A1 (en) * 2020-12-21 2022-06-23 Tokyo Electron Limited Conformal amorphous carbon layer etch with side-wall passivation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05291208A (ja) * 1992-04-08 1993-11-05 Sony Corp ドライエッチング方法
WO2003100818A1 (en) * 2002-05-22 2003-12-04 Applied Materials, Inc. Capacitively coupled plasma reactor with magnetic plasma control
JP2007180358A (ja) * 2005-12-28 2007-07-12 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US650674A (en) * 1899-12-26 1900-05-29 Frederic Ecaubert Dredging-machine.
US4786361A (en) * 1986-03-05 1988-11-22 Kabushiki Kaisha Toshiba Dry etching process
US6187688B1 (en) * 1997-01-21 2001-02-13 Matsushita Electric Industrial Co., Ltd. Pattern formation method
US6228775B1 (en) 1998-02-24 2001-05-08 Micron Technology, Inc. Plasma etching method using low ionization potential gas
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP2004363150A (ja) 2003-06-02 2004-12-24 Matsushita Electric Ind Co Ltd パターン形成方法
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
KR100780944B1 (ko) 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05291208A (ja) * 1992-04-08 1993-11-05 Sony Corp ドライエッチング方法
WO2003100818A1 (en) * 2002-05-22 2003-12-04 Applied Materials, Inc. Capacitively coupled plasma reactor with magnetic plasma control
JP2007180358A (ja) * 2005-12-28 2007-07-12 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012028603A (ja) * 2010-07-26 2012-02-09 Tokyo Electron Ltd プラズマ処理方法および記憶媒体
US8404590B2 (en) 2010-07-26 2013-03-26 Tokyo Electron Limited Plasma processing method and storage medium
CN108711552A (zh) * 2017-04-10 2018-10-26 朗姆研究公司 预防图案坍塌的后处理
JP2018182315A (ja) * 2017-04-10 2018-11-15 ラム リサーチ コーポレーションLam Research Corporation パターン崩壊を防ぐためのエッチング後処理
JP7317470B2 (ja) 2017-04-10 2023-07-31 ラム リサーチ コーポレーション パターン崩壊を防ぐためのエッチング後処理
CN108711552B (zh) * 2017-04-10 2024-03-15 朗姆研究公司 预防图案坍塌的后处理
JP2018200925A (ja) * 2017-05-25 2018-12-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
WO2023234305A1 (ja) * 2022-05-31 2023-12-07 株式会社レゾナック エッチング方法

Also Published As

Publication number Publication date
SG155104A1 (en) 2009-09-30
US20090212010A1 (en) 2009-08-27
KR20090090981A (ko) 2009-08-26
CN101515542B (zh) 2011-08-17
KR101019928B1 (ko) 2011-03-08
US8133819B2 (en) 2012-03-13
CN101515542A (zh) 2009-08-26
TW200937517A (en) 2009-09-01

Similar Documents

Publication Publication Date Title
JP2009200459A (ja) 硫黄系エッチャントを用いた炭素質層のプラズマエッチング
US8778207B2 (en) Plasma etch processes for boron-doped carbonaceous mask layers
TWI455203B (zh) 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制
US7838432B2 (en) Etch process with controlled critical dimension shrink
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
US7510976B2 (en) Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US10643854B2 (en) Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
JP6185305B2 (ja) プラズマエッチング方法およびプラズマエッチング装置
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
WO2006028858A2 (en) Methods of removing photoresist on substrates
US9305804B2 (en) Plasma etch processes for opening mask layers
US8642482B2 (en) Plasma etching method, control program and computer storage medium
US10658194B2 (en) Silicon-based deposition for semiconductor processing
KR20080014773A (ko) 정규형 저유전율 유전체 재료 및/또는 다공형 저유전율유전체 재료의 존재 시 레지스트 스트립 방법
TW202234140A (zh) 極紫外光(euv)光阻的圖案化顯影方法
US7183220B1 (en) Plasma etching methods
Kim et al. Effects of bias pulsing on etching of SiO2 pattern in capacitively-coupled plasmas for nano-scale patterning of multi-level hard masks
US11201063B2 (en) Substrate processing method and substrate processing apparatus
US20230268192A1 (en) In-situ hydrocarbon-based layer for non-conformal passivation of partially etched structures

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110516

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120515

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130212