KR20080027138A - 고밀도의 절연막을 형성하는 방법 - Google Patents

고밀도의 절연막을 형성하는 방법 Download PDF

Info

Publication number
KR20080027138A
KR20080027138A KR1020070092130A KR20070092130A KR20080027138A KR 20080027138 A KR20080027138 A KR 20080027138A KR 1020070092130 A KR1020070092130 A KR 1020070092130A KR 20070092130 A KR20070092130 A KR 20070092130A KR 20080027138 A KR20080027138 A KR 20080027138A
Authority
KR
South Korea
Prior art keywords
gas
film
insulating film
substrate
sccm
Prior art date
Application number
KR1020070092130A
Other languages
English (en)
Inventor
아츠키 후카자와
노부오 마츠키
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20080027138A publication Critical patent/KR20080027138A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

플라즈마 반응에 의해 반도체 기판상에 절연막을 형성하는 방법은, 분자 내에서, 적어도 하나의 Si-O 결합과, Si-Si 결합, Si-N 결합 및 Si-H 결합으로 이루어진 군에서 선택된 적어도 하나의 결합을 포함하는 실리콘 함유 탄화수소 화합물의 소스 가스(source gas)를 반응 챔버 내로 유입시키는 단계; C, H 및 선택적으로 O로 구성된 첨가 가스(additive gas)를 상기 반응 챔버 내로 유입시키는 단계; 서셉터를 -50℃ 내지 50℃의 온도로 제어하는 단계; 100 nm/min 이하의 증착 속도로, 기판의 불규칙한 표면 위에 Si, O, H 및 선택적으로 N으로 구성된 절연막을 플라즈마 반응에 의해 형성하는 단계; 및 상기 절연막을 가진 상기 기판을 열처리함으로써, 열처리의 결과로써 상기 절연막의 밀도를 2.12 g/cm3 보다 큰 값까지 증가시키는 단계를 포함한다.

Description

고밀도의 절연막을 형성하는 방법 {Method for forming insulation film having high density}
본 발명은 일반적으로 반도체 기술에 관한 것이고, 더욱 상세하게는 플라즈마 CVD(화학기상증착)를 사용하여 형성되는, 반도체 기판상의 절연막으로 이용되는 실리콘 중합체 막 또는 산화막에 관한 것이다.
플라즈마 화학기상증착 방법(plasma CVD method)에서, 반도체 기판들상에 박막을 증착하는 것은, 1 내지 10 Torr의 기압에서 0 내지 350℃로 예열된 저항가열식 히터 상에 처리 공정의 목표가 되는 각 반도체 기판을 배치함으로써 수행된다. 상기 히터는 반응 가스를 배출하는 샤워 플레이트를 마주보도록 배치되며, 13.56 MHz 내지 60 MHz 등의 고주파수 파워가 100 내지 4,000W 정도 샤워 플레이트에 가해져서, 히터와 샤워 플레이트 사이에 고주파수 방전이 발생함으로써 플라즈마를 생성한다. 플라즈마 CVD 방법은 상호접속 절연막(interconnect insulation film), 패시베이션 막(passivation film) 및 반사 방지막과 같은 다양한 형식의 박막을 증착하는데 이용된다. 평행-플레이트 타입의 플라즈마 CVD로는 상당히 높은 레벨의 표면 확산을 달성하는 것이 어렵기 때문에, 마이크로파를 사용하는 고밀도 플라즈 마 CVD는 전통적으로 필링(filling) 특성들을 가지는 산화막 등을 형성하는데 이용되었다. 이러한 기술들은 250 nm 디바이스 노드(device node) 시절부터 사용되어 왔다. 그러한 장치의 일 특징은, 막을 형성할 뿐만 아니라 막의 에칭을 수행한다는 것인데, 이는 장치가 홀의 더 작은 직경을 지원할 수 있다는 것을 의미한다. 65 nm 내지 90 nm 의 디바이스 노드를 가진 현재의 제품들에 있어서, 주류의 공정 방법은 막 형성과 에치-백(etch-back)을 조합한 것이다. 이러한 방법이 사용되는 대표적인 공정은 STI(얕은 트렌치 격리, Shallow Trench Isolation) 및 배선 공정에서 상부층 처리공정을 포함한다.
그러나, 막 형성과 에치-백의 조합은 60 nm 이하의 더 작은 디바이스 노드를 지원하지 않을 것 같고, 초정밀 회로를 위한 가속화된 트렌드와 함께 충분한 필링 특성을 제공하는 절연막에 대한 요구가 있다.
본 발명은, 플라즈마 CVD(화학기상증착)를 사용하여 형성되는, 반도체 기판상의 절연막으로 이용되는 실리콘 중합체 막 또는 산화막을 제공하는 것을 목적으로 한다.
본 발명의 일 실시양태에서, 막 전구체(film precursor)를 형성하는 동안 서셉터 온도는 약 -50℃ 내지 약 50℃의 범위 내에서 제어된다. 저온의 환경을 형성함으로써, 플라즈마 CVD에 의해 형성된 활성종(active species)은 실리콘 기판상에서 유동성을 보이며 좁은 홀들을 채우고 배선을 메운다. 또한, 표면 장력은 상기 형성된 전구체의 점성에 의해 결정된다. 따라서, 일 실시양태에서 이소프로필 알콜(isopropyl alcohol), 아세톤(acetone) 또는 다른 첨가물과, 산화 재료의 조합을 함유하는 막 재료(film material)는, 점성을 낮은 레벨까지 제어하는데 이용될 수 있다. 본 발명의 일 실시양태는 상기 설명된 바와 같이 막 형성의 온도와 점성을 낮춤으로써, 높은 종횡비(aspect ratio)의 필링 효과를 달성한다. 이것은 본 발명이 유동성에 의해 지원되는 높은 레벨의 표면 확산을 촉진하기 때문이다. 다른 실시양태에서, 본 발명은 높은 종횡비에도 불구하고, 약 -50℃ 내지 약 0℃의 서셉터 온도에서 우수한 필링 특성을 달성한다. 상세히 말하자면, 20 내지 100 nm의 배선 폭과 홀 크기를 가진 패턴이 필링 특성을 확인하는데 이용된다. 동시에, 습윤성(wettability)을 향상시키기 위하여 베이스에 형성된 박막종(film species)을 이 용하며 플라즈마 전처리가 이용된다. 본 발명의 일 실시양태에서, 홀 크기의 차이로 인한 막 성장 속도의 변동을 억제하기 위하여 막 성장 속도는 100 nm/min 이하로 유지된다. 다른 실시양태에서, 첨가된 가스의 유속은 앞서 언급한 요구를 달성하기 위하여 물질의 유속을 기반으로 하여 결정된다.
또다른 실시양태에서, 본 발명은 필링 성능을 제공하는 산화막을 형성하는 방법을 마련한다. 본 발명의 일 특징은, 고밀도를 달성하기 위하여, Si-O, Si-Si 및 Si-N 결합들이 막 재료에 제공되고, 습식 에칭 속도를 낮춘다. 점성을 제어하기 위하여, IPA, 아세톤, 헥산(hexane) 또는 다른 CH 또는 CHO 그룹이 막 재료에 첨가된다. 습윤성의 향상은 최적의 필링 작용을 확실히 하기 위해 플라즈마 전처리에 의해 달성된다.
필링 작용은 전통적인 CVD 공정 하에서, 고온도 또는 오존 환경에서 달성된다. 본 발명의 일 실시양태에서, 한편으로는 낮은 온도에서 실리콘 탄화수소 가스를 이용하여 100 nm 이하의 성장 속도로 막이 형성되고, 그럼으로써 상기에서 언급한 바와 같이 형성된 막의 필링 특성이 급격히 향상된다.
본 발명 및 종래 기술을 능가하여 달성되는 장점들을 요약하기 위하여, 본 발명의 일부 목적들 및 장점들을 상기 기재하였다. 물론, 이러한 모든 목적 또는 장점이 본 발명의 임의의 특정 실시양태에 따라 반드시 달성될 수 있다는 것이 아니라는 것은 이해될 것이다. 따라서, 예를 들면, 당업자는 본원에 교시되거나 제시될 수 있는 다른 목적 또는 장점을 반드시 달성하지 않고도, 본원에 교시된 하나의 장점 또는 일군의 장점들을 달성하거나 최적화하는 방식으로 본 발명을 구체화 하거나 실행할 수 있다는 것을 인식할 것이다.
본 발명의 추가 측면들, 특징들 및 장점들은 하기 바람직한 실시양태들의 상세한 설명으로부터 명백하게 될 것이다.
본 발명의 일 실시양태는, 막 형성의 온도와 점성을 낮춤으로써, 높은 종횡비(aspect ratio)의 필링 효과를 달성한다.
또한, 막의 증착 속도를 100 nm/min 이하로 제어함으로써, 증착 막은 더 고밀도의 구조를 가질 수 있고, 경화 중에 습식 에칭에 대한 우수한 저항성을 가질 수 있다.
또한, 플라즈마 처리를 수행함으로써, 절연막에 대한 불규칙한 표면의 친화성이 향상될 수 있고, 그럼으로써 오목부 내에서 막의 패딩(padding) 또는 필링(filling) 특성을 향상시킬 수 있다.
상기 언급한 바와 같이, 본 발명은 다양한 실시양태들을 포함한다. 본 발명의 일 실시양태에서, 유동성을 가진 절연 물질은 표면 장력에 의해 불규칙한 패턴들을 채운다. 절연 물질로 불규칙한 패턴을 채우기 위해서는, 막이 기판 표면상에 형성된다. 이때, 블랭킷(blanket) 상에 형성된 막은 불규칙한 패턴 내에 형성된 막과는 다른 품질을 가진다. 일 실시양태에서, 불규칙한 패턴 내에 형성된 막의 성장 속도는 블랭킷 막의 성장 속도의 약 2배 내지 4배에 이른다. 이러한 이유로, 불규칙한 패턴 내에 형성된 막은 엉성한 경향이 있고, 열이 가해진 이후에 "세 공(pore)"이라 불리는 홀들이 막의 엉성한 영역 둘레에 형성된다. 일 실시양태에서, 막 성장 속도는 막 밀도와 중요한 관계를 가지며, 블랭킷 막의 성장 속도를 100 nm 이하로 제어하면 불규칙한 패턴 내에서 산화막이 엉성하게 형성되는 것이 방지될 것이다.
다른 실시양태에서, 막 형성 공정 이후에 막 전구체가 열, 자외선 빛 또는 다른 경화 기술에 의해 경화되어, 필링 저-유전상수 막(filling low-dielectric film) 및/또는 필링 산화막(filling oxide film)(이후부터는 "산화막"이라 함)이 형성된다. 열 어닐링(annealing)이 이용될 때, 막 내의 불순물의 제거와 경화 효과는 200℃ 내지 1,100℃의 온도 범위 내에서 달성될 수 있다. 어닐링 온도 범위는 어닐링이 이용되는 공정에 따라 변화된다. 일 실시양태에서, 이 방법은 1:3 내지 1:10의 종횡비를 가지는 홀들에서 고 필링 성능을 달성하는 것으로 확인되었다. 동시에, 형성된 막은 또한 우수한 전기적 특성을 보인다. 특히 공정 온도가 700℃ 이상으로 높을 때, 열적 산화막의 전기적 특성과 동등한 특성이 달성될 수 있다. 따라서, 형성된 막은 기판 처리공정(FEOL)에 이용될 수 있다. 게다가, 형성된 막은 스텝을 형성하는 데 있어서 희생막(sacrificial film)의 기능을 하기도 한다.
일 실시양태에서, 산화막의 형성 이후에 막이 순차적으로 형성되거나 처리되는 공정이 진행된다. 이러한 공정들은 세척 등을 포함하기 때문에, 습식 에칭 저항이 중요해진다. 이러한 관점에서 볼 때, 일 실시양태에서 습식 에칭 속도를 향상시키도록 전구체에는 어떤 특징들이 주어진다. 실험 결과를 바탕으로, 일 실시양태는 25 내지 45 nm/min의 습식 에칭 속도를 보여주는데, 이는 열적 산화막의 40 nm/min의 습식 에칭 속도와 비교될 수 있다. 참고적으로, 습식 에칭 속도는 Stella Chemifa의 LAL500을 이용하여 산출될 수 있고, 이는 표면 활성제를 함유하는 완충 플루오르화수소산(buffered hydrofluoric acid)이다.
일 실시양태에서, 본 발명은 플라즈마 반응에 의해 반도체 기판상에 절연막을 형성하는 방법을 제공하는데, 상기 방법은 (ⅰ) 반응 챔버 내의 서셉터 상에 배치된 오목부를 포함하는 불규칙한 표면을 가지는 기판을 제공하는 단계; (ⅱ) 상기 반응 챔버 내로, 분자 내에서 적어도 하나의 Si-O 결합 및 Si-Si 결합, Si-N 결합, Si-H 결합으로 이루어진 군에서 선택된 적어도 하나의 결합을 포함하는 실리콘 함유 탄화수소 화합물의 소스 가스(source gas)를 유입시키는 단계; (ⅲ) 상기 반응 챔버 내로, C, H 및 선택적으로(optionally) O로 구성된 첨가 가스(additive gas)를 유입시키는 단계(예컨대, 이소프로필 알콜 또는 아세톤); (ⅳ) 상기 서셉터를 약 -50℃ 내지 약 50℃의 온도로 제어하는 단계(-50℃, -40℃, -30℃, -20℃, -10℃, 0℃, 10℃, 20℃, 30℃, 40℃, 50℃ 및 상기의 임의의 2개의 숫자 사이의 값들을 포함하고, 바람직하게는 -20℃ 내지 20℃); 및 (ⅴ) 약 100 nm/min 이하의 증착 속도로, 상기 오목부를 포함하는 상기 기판의 상기 불규칙한 표면 위에 Si, O, H 및 선택적으로 N으로 구성된 절연막을 플라즈마 반응에 의해 형성하는 단계(100 nm/min, 95 nm/min, 90 nm/min, 80 nm/min, 70 nm/min, 60 nm/min, 50 nm/min, 40 nm/min, 30 nm/min, 20 nm/min 및 상기의 임의의 2개의 숫자 사이의 값들을 포함하고, 바람직하게는 40 nm/min 내지 95 nm/min);를 포함한다. 막의 증착 속도를 100 nm/min 이하로 제어함으로써, 증착 막은 더 고밀도의 구조를 가질 수 있고, 경화 중에 습식 에칭에 대한 우수한 저항성을 가질 수 있다. 상기 증착 속도는 기판의 평면 표면 위에 형성되는 막의 증착 속도이다.
일 실시양태에서, 상기 방법들 중 어느 하나는 상기 절연막을 가진 상기 기판을 열처리하는(heat-treating) 단계를 더 포함할 수 있는데, 그럼으로써 열처리 결과로써, 절연막의 밀도를 2.12 g/cm3 보다 큰 값까지 증가시킨다(2.13 g/cm3, 2.14 g/cm3, 2.15 g/cm3, 2.20 g/cm3, 2.30 g/cm3, 2.40 g/cm3, 2.50 g/cm3, 2.70 g/cm3, 2.90 g/cm3, 3.0 g/cm3, 및 상기의 임의의 2개의 숫자 사이의 값들을 포함함). 일반적으로, 막 밀도가 높을 때, 상기 막의 불규칙한 표면 위의 습식 에칭 속도는 낮아지게 된다. 다른 실시양태에서, 막 밀도는 2.12 g/cm3 보다 작은 값을 가질 수 있고, 그런 경우 막의 구성, 경화 공정 등에 따라서 막 밀도는 2.0 g/cm3 내지 2.60 g/cm3 의 값을 가질 수 있다. 상기에서, 일 실시양태에서, 밀도는 열처리의 결과로써 최대의 밀도이다.
일 실시양태에서, 상기 열처리 후의 상기 막의 유전상수(dielectric constant)는 5 이하일 수 있다(4.8, 4.5, 4.3, 4.0, 3.8, 3.5, 3 및 상기의 임의의 2개의 숫자 사이의 값들).
일 실시양태에서, 상기 방법들 중 어느 하나는 상기 반응 가스를 유입시키는 단계 전에, 예비 처리로써, 산화 가스, 불활성 가스 및 C, H, 선택적으로 O로 구성 된 가스로 이루어진 군으로부터 선택된 보조 가스를, 상기 기판의 플라즈마 처리를 위하여 상기 반응 챔버로 유입시키는 단계를 더 포함할 수 있다. 상기 예비 처리를 수행함으로써, 절연막에 대한 상기 불규칙한 표면의 친화성이 향상될 수 있고, 그럼으로써 오목부 내에서 막의 패딩(padding) 또는 필링(filling) 특성을 향상시킬 수 있다.
일 실시양태에 따르면, 상기 방법들 중 어느 하나에서, 상기 불규칙한 표면의 오목부는 홀들 또는 홈(groove)들을 포함할 수 있고, 상기 증착 단계는 상기 절연막으로 상기 홀들 또는 홈들을 채우도록 상기 불규칙한 표면 위에서 수행된다. 일 실시양태에서, 상기 불규칙한 표면은 SiN, SiO, 폴리-Si, Cu 또는 Si·장벽 금속(barrier metal)의 3차원적 구조를 가질 수 있거나, 또는 다른 실시양태에서, 알루미늄, 텅스텐 또는 텅스텐 실리콘의 3차원적 구조를 가질 수 있다. 일 실시양태에 따르면, 상기 방법들 중 어느 하나에서, 상기 오목부를 가지는 상기 불규칙한 표면은 배선(wiring)을 위해 패터닝된(patterned) 표면일 수 있다. 게다가, 일 실시양태에서, 상기 기판의 불규칙한 표면의 오목부는 약 1/3 내지 약 1/10의 종횡비(aspect ratio)를 가질 수 있다.
일 실시양태에 따르면, 상기 방법들 중 어느 하나에서, 상기 열처리 단계는 열적 어닐링(thermal annealing) 또는 자외선 경화(UV curing)에 의해 수행될 수 있다. 상기에서, 일 실시양태에서, 상기 어닐링 단계는 200℃ 내지 1,100℃의 온도에서 수행될 수 있다(300℃, 500℃, 700℃, 900℃ 및 상기의 임의의 2개의 숫자 사이의 값들을 포함함). 막으로부터 탄소를 제거하고 그 막을 Si, O 및 H로 구성 하기 위하여, 열적 어닐링에 의해 900℃ 이상과 같은 고온이 바람직하다.
일 실시양태에서, 상기 절연막은 실리콘 산화막일 수 있고, 바람직한 실시양태에서, 탄소가 함유되지 않는다. 다른 실시양태에서, 탄소는 막의 구성에서 5% 미만으로 함유될 수 있다. 일 실시양태에서, 상기 절연막은 25 nm 내지 70 nm의 두께를 가질 수 있다. 다른 실시양태에서, 상기 두께는 10 nm 내지 200 nm일 수 있다. 상기 두께는 기판의 평면 표면 위에 형성되는 막의 두께이다.
일 실시양태에 따르면, 상기 방법들 중 어느 하나에서, 상기 실리콘 함유 탄화수소 화합물은,
Figure 112007065875305-PAT00001
Figure 112007065875305-PAT00002
Figure 112007065875305-PAT00003
Figure 112007065875305-PAT00004
Figure 112007065875305-PAT00005
로 이루어진 군에서 선택된 적어도 하나의 화합물일 수 있다.
여기서, 각 X는 독립적으로 H, OH, CH3, C2H5, OCH3 또는 OC2H5이며, Y는 H, CH3 또는 C2H5 이다. 바람직하게는, 적어도 하나의 X는 H도 아니고, OH도 아니다.
증착 단계에서, 반응의 평균 온도(일 실시양태에서, 서셉터의 온도)가 약 -50℃ 내지 약 50℃에서 제어되고, 특별히 선택된 반응 가스를 이용하여 증착 속도가 약 100 nm/min 이하로 제어될 때, 절연막은 우수한 필링 특성(패딩 특성)을 보유할 수 있어서, 그 위에 절연막이 증착되는, 홀들 또는 배선 홈들을 가지는 표면이 1/3 내지 1/10과 같은 높은 종횡비(예컨대, Si 기판 내에 형성된 얕은 트렌치 격리(STI) 구조) 또는 100 nm 이하의 배선 피치(예컨대, 알루미늄/저 유전상수(low-k) 구조를 위한 45 nm 내지 100 nm)를 가진다 하더라도, 절연막은 공백을 형성하지 않고 홀들 또는 홈들 내부를 채울 수 있다(저 유전상수 막 또는 산화막으 로서). 온도가 낮을 때, 반응 챔버로 진입할 때 일단 증기화된 전구체는 기판 표면 근처에서 활성화된 액체 상태로 되고, 그러므로 상기 증착 막은 우수한 스텝 커버리지 및 필링 특성을 나타낼 수 있다.
본 발명의 일 실시양태에서, 절연막은 저-유전상수 막 위에 또는 Cu 층 위에 형성될 수 있거나, 장벽 막으로서 상감 공정(damascene process)에서 비아(via) 및/또는 트렌치(trench)의 표면 위에 형성될 수 있거나, 건식 에칭에 의해 처리될 수 있는 알루미늄, 텅스텐 도는 텅스텐 실리콘(또는 다른 임의의 배선 재료들)의 3차원적 구조 위에 형성될 수 있다. 상기 절연막은 하기에서 일 실시양태로 기술되는 방법에 의해 형성된 저-유전상수 막을 형성하는데 이용된 소스 가스와 동일한 소스 가스를 이용하여 형성될 수 있다. 그러한 경우, 절연막과 저-유전상수 막은 동일한 장치를 사용하여 연속적으로 형성될 수 있고, 그럼으로써 파티클 오염 문제를 제거하고 생산성을 향상시킨다.
게다가, 일 실시양태에서, 본 발명은 상호접속 구조(interconnect structure)를 형성하는 방법을 제공하는데, 상기 방법은 (ⅰ) 기판 내의 상호접속을 위한 3차원적 구조를 형성하는 단계; 및 (ⅱ) 상기 방법들 중 어느 하나를 이용하여 상기 3차원적 구조의 표면 위에 절연막을 형성하는 단계;를 포함한다. 일 실시양태에서, 상기 방법들 중 어느 하나는 상기 절연막을 습식 에칭하는 단계를 더 포함할 수 있다. 일 실시양태에서, 3차원적 구조를 형성하는 단계는, 배선층으로써 알루미늄, 텅스텐 또는 텅스텐 실리콘 층을 형성하는 단계와, 일 패턴으로 그 층을 에칭하는 단계를 포함할 수 있다.
게다가, 기저층과 절연막 사이에서 친화성을 향상시킴으로써 필링 특성을 향상시키기 위하여, 상기 방법은, 상기 반응 가스를 유입시키는 단계 전에, 예비 처리로써, He, O2 그리고 C, H 및 선택적으로 O로 구성된 가스로 이루어진 군에서 선택된 하나 이상의 가스인 보조 가스를, 상기 반도체 기판의 플라즈마 처리를 위하여 상기 반응 공간으로 유입시키는 단계를 더 포함할 수 있다(상기 보조 가스로부터 형성된 활성화된 액체가 예비적으로 3차원적 구조를 덮을 수 있고, 그 후 상기 반응 가스로부터 순차적으로 형성된 활성화된 액체와 혼합될 수 있을 정도의, 낮은 온도에서). 일 실시양태에서, C, H 및 선택적으로 O로 구성된 가스로서, 상기 증착 단계에서 이용되는 첨가 가스가 이용될 수 있다. 예를 들어, 특히 상기 기저층이 소수성의(hydrophobic) 표면을 가질 때, 상기 예비 처리는 효과적이고, 그러한 경우, 상기 예비 처리에 의해, 상기 표면은 소수성의 상태에서 친수성의(hydrophilic) 상태로 변할 수 있다. 절연막의 특성들은 일반적으로 상기 예비 처리에 의해 영향을 받지 않는다.
기판의 예비 처리는, 실리콘을 함유하지 않은 탄화수소 화합물(no silicon-containing hydrocarbon compound)가 사용되는 것을 제외하고, 그 위에 절연막을 형성하기 위해 설정된 조건들 하에서 수행될 수 있고, 절연막을 증착하기 위한 RF 파워(예컨대, 27MHz)(100 W 내지 1,000 W의 범위 내일 수 있고, 예컨대, 200 W 내지 500 W)와 비교할 때 더 높은 RF 파워(2배 내지 5배)가 이용될 수 있다. 상기 보조 가스는 이소프로필 알콜 또는 아세톤일 수 있고, 단일 성분의 또는 다른 가스 와 조합된 He 과 같은 불활성 가스일 수 있다. 각 보조 가스의 유속은 10 sccm 내지 2000 sccm일 수 있다(50 sccm, 100 sccm, 500 sccm, 800 sccm, 1000 sccm, 1500 sccm 및 상기의 임의의 2개의 숫자 사이의 값들을 포함함). 상기 보조 가스는 임의의 조합으로 사용될 수 있다. 예비 처리가 수행되면, 어떠한 보조 가스도 절연막을 증착하기 위한 반응 가스에 첨가될 수 없다.
일 실시양태에서, 기저층과 절연막 사이의 친화성을 향상시키기 위한 상기 예비 처리와, 상기 반응 가스의 점성을 감소시키기 위한 CxHyOz 가스의 첨가 가스를 사용하는 상기 증착 공정을 조합함으로써, 상기 절연막(산화막 또는 저-유전상수 막)의 필링 특성 또는 패딩 특성이 상당히 향상될 수 있다.
상기 불활성 가스는 He, Ar, Kr 및 Xe으로 이루어진 군에서 선택된 하나 이상일 수 있다. 상기 불활성 가스는 10 sccm 내지 10,000 sccm의 유속으로 유입될 수 있다(50 sccm, 100 sccm, 500 sccm, 1,000 sccm, 3,000 sccm, 6,000 sccm 및 상기의 임의의 2개의 숫자 사이의 값들을 포함함). 각 불활성 가스는 서로 다른 이온화 에너지를 가지고, 서로 다른 충돌 단면(collision cross section)을 가지므로, 불활성 가스를 선택함으로써, 반응 공간 내에서 가스 상태의 반응을 제어하는 것이 가능하다. 예를 들면, 아르곤은 주로 플라즈마를 안정시키는데 사용되고, 헬륨은 플라즈마의 균일성 및 상기 절연막의 두께의 균일성을 향상시키는데 사용된다.
다른 실시양태에서, 첨가 가스로서, H2 가스가 첨가될 수 있다. 일 실시양 태에서, 상기 첨가 가스는 H2 가스 및 CxHyOz 가스로 이루어진 군에서 선택된 적어도 하나일 수 있고, 여기서 x=1-10, y는 자연수, 그리고 z=0, 1 또는 2이며, 상기 첨가 가스는 상기 소스 가스가 유입될 때 반응 공간으로 유입된다. 상기 가스들은 단독으로 또는 임의의 조합으로 사용될 수 있다. 이러한 가스들은 주로 Si에 결합되도록 산소를 공급하는 산소-공급 가스(oxygen-supplying gas)(즉, 가스의 분자가 산소를 함유하더라도 상기 산소는 최종적인 박막의 기초 구조(basal structure)의 형성에 거의 포함되지 않는다) 또는 가교결합 가스(crosslinking gas)(즉, 가스의 분자는 최종적인 박막의 기초 구조(basal structure)의 형성에 거의 포함되지 않는다)의 역할을 하지 않는다. 일 실시양태에서, 상기 첨가 가스는 아세톤, 헥산(hexane), 이소프렌(isoprene), 이소펜탄(isopentan)으로 이루어진 군에서 선택될 수 있다. 상기 첨가 가스는 5 sccm 내지 900 sccm의 유속으로 유입될 수 있다(20 sccm, 50 sccm, 100 sccm, 300 sccm, 600 sccm 및 상기의 임의의 2개의 숫자 사이의 값들을 포함함).
상기에서, CxHyOz의 첨가 가스는 상호접속 구조의 작은 갭들 또는 홀들 내에서 0.2 내지 2.3 mPa·s의 점성을 가지는 것이 바람직하다(20℃에서 측정되고 상기 첨가 가스가 반응 가스 내에서 혼합될 때 반응 가스의 점성이 감소할 수 있고 그럼으로써 필링 특성(패딩 특성)을 향상시킬 수 있음).
일 실시양태에서, 산화 가스가 상기 소스 가스에 첨가될 수 있다. 상기 산화 가스는, O2, O3, CO2, N2O 및 H2O로 이루어진 군에서 선택된 하나 이상일 수 있 다. 상기 산화 가스는 10 sccm 내지 3,000 sccm의 유속으로 유입될 수 있다(50 sccm, 100 sccm, 500 sccm, 1,000 sccm, 2,000 sccm 및 상기의 임의의 2개의 숫자 사이의 값들을 포함함).
일 실시양태에서, 상기 증착 막 내의 질소 함유량을 제어하기 위하여 질소 함유 가스가 첨가될 수 있다. 상기 질소 함유 가스는, N2, NH3 및 N2O로 이루어진 군에서 선택된 하나 이상일 수 있다. 상기 질소 함유 가스는 10 sccm 내지 3,000 sccm의 유속으로 유입될 수 있다(50 sccm, 100 sccm, 500 sccm, 1,000 sccm, 2,000 sccm 및 상기의 임의의 2개의 숫자 사이의 값들을 포함함).
상기 소스 가스는 3 sccm 내지 200 sccm의 유속으로 유입될 수 있다(5 sccm, 7 sccm, 10 sccm, 15 sccm, 20 sccm, 30 sccm, 50 sccm, 70 sccm, 100 sccm, 150 sccm 및 상기의 임의의 2개의 숫자 사이의 값들을 포함함; 일 실시양태에서, 5-20 sccm).
일 실시양태에서, 상기 불활성 가스, 상기 산화 가스 및 상기 첨가 가스는 임의의 조합으로 사용될 수 있다(예컨대, [소스 가스]/[불활성 가스]/[산화 가스]/[첨가 가스]의 유속 = [10]/[100-10000 (예컨대, 500-2000)]/[15-100 (예컨대, 20-80)]/[20-300 (예컨대, 40-200)]). 일 실시양태에서, 유속은 다음의 순서를 따를 수 있다: [소스 가스]<[산화 가스]<[첨가 가스]<[불활성 가스]. 게다가, 일 실시양태에서, [소스 가스]+[산화 가스]+[첨가 가스]의 유속의 합은 500 sccm 미만일 수 있다(예컨대, 50-300 sccm). 상기에서, 특히 서셉터가 50℃ 이하의 온도로 제 어될 때 막의 증착 속도는 효과적으로 제어될 수 있어서, 내부에 기공들이 형성되는 것을 방지하면서 기판의 오목부는 상기 막으로 채워질 수 있다.
일 실시양태에서, 불활성 가스, 산화 가스 및 환원 가스 중에서 하나 또는 두개 도는 임의의 조합이 이용될 수 있다. 상기 첨가 가스로서 비교적 높은 유속으로 수소 함유 가스를 이용할 때, 막의 열적 안정성은 감소하는 경향이 있다. 그러므로, 가스들의 혼합 비율은 조정될 필요가 있다.
일 실시양태에서, 상기 소스 가스의 유속은 상기 공정 가스(또한, "반응 가스"로도 언급된다)의 전체 유속의 2.8% 이하일 수 있다(2.5%, 2.2%, 2.0%, 1.5%, 1.0% 및 상기의 임의의 2개의 숫자 사이의 값들). 다른 실시양태에서, 상기 소스 가스의 유속은, 소스 가스 및 다른 가스들의 종류, 증착 온도 등에 따라, 2.8%보다 큰 값일 수 있다(예컨대, 3.0%, 5.0% 및 상기의 임의의 2개의 숫자 사이의 값들).
다른 실시양태에서, 상기 불활성 가스, 상기 산화 가스 또는 상기 첨가 가스 증 하나 또는 2개가 배제될 수 있다. 기저층과 절연막 사이의 친화성을 향상시키기 위한 예비 처리가 상기 첨가 가스와 동등한 가스를 사용하면서 수행되는 일 실시양태에서(이것은 작은 홀들을 채우는데 유리하다), 상기 첨가 가스는 증착 단계에서 제거될 수 있다. 예비 처리가 상기 첨가 가스와 동등한 가스를 사용하지 않으면서 수행되는 다른 실시양태에서, 상기 첨가 가스의 유속은 상기 증착 단계에서 감소할 수 있다. 예를 들면, 예비 처리를 적용하는 경우, [소스 가스]/[첨가 가스]의 유속 비는 [10]/[0-100 (예컨대, 0-50)]일 수 있다.
일 실시양태에서, 절연막을 형성하기 위한 RF 파워는 13.56 MHz, 27 MHz, 60 MHz와 같은 13.56 MHz 내지 60 MHz의 주파수를 가질 수 있다(일 실시양태에서, 20 MHz 이상). 다른 실시양태에서, 상기 RF 파워는 50 W이상일 수 있다(100 W, 200 W, 300 W, 500 W 및 상기의 임의의 2개의 숫자 사이의 값들, 일 실시양태에서, 100 W 내지 200 W). 상대적으로 낮은 RF 파워가 낮은 증착 속도를 제어하는데 효과적일 수 있다. 일 실시양태에서, 저주파수 RF 파워는 고주파수 RF 파워의 1%-50% 일 수 있다(5%, 10%, 15%, 20%, 30%, 40% 및 상기의 임의의 2개의 숫자 사이의 값들을 포함함). 저주파수 RF 파워는 2 MHz 이하의 주파수를 가질 수 있다(예컨대, 400kHz, 430kHz).
상기 기판은, 절연막이 채워지는 오목부로 이루어진, 배선을 위한 패터닝된 표면인 불규칙한 표면을 포함할 수 있다. 상기 기판은 그 위에 절연막이 형성되는, 노출된 Cu 층을 포함할 수 있다.
앞서 언급한 실시양태들 및 측면들에서, 교체가 실현 가능하다면 또는 역효과를 일으키지 않는다면, 일 실시양태 또는 일 측면에서 이용되는 요소는 상호교환적으로 또는 추가적으로 다른 실시양태 또는 다른 측면에서 이용될 수 있다.
추가적인 측면들, 특징들 및 장점들은 다음의 바람직한 실시예의 상세한 기술로부터 분명해 질 것이다. 바람직한 실시양태들은 본 발명을 한정하기 위한 것이 아니다.
도 1은 본 발명의 일 실시양태에서 사용할 수 있는 플라즈마 CVD 장치를 개략적으로 나타낸다. 상기 플라즈마 CVD 장치는 반응 챔버(1), 가스 입구 포트(6), 내장된 온도 제어기가 마련된 서셉터(3)(하부 전극의 역할을 함)를 포함하는데, 상 기 온도 제어기는 온도를 제어하기 위해 그 내부에 냉각제 또는 가열 매개물이 채널 내부에서 유동하는 코일일 수 있다. 샤워헤드(2)(상부 전극의 역할을 함)는 상기 가스 입구 포트 바로 아래에 배치될 수 있다. 상기 샤워헤드(2)는 그 바닥면에 수많은 미세한 개구부들을 가지면, 개구부들을 통해 반도체 기판(5)으로 반응 가스를 분사할 수 있다. 상기 반응 챔버(1)의 바닥부에는 배기 포트(8)가 있다. 상기 배기 포트(8)는 외부의 진공 펌프(미도시)에 연결되어 있어서, 반응 챔버(1)의 내부가 진공화될 수 있다. 상기 서셉터(3)는 상기 샤워헤드(2)와 평행하게 그리고 마주보게 배치된다. 상기 서셉터(3)는 그 위에 반도체 기판(5)을 지지하고, 온도 제어기로 그 기판을 가열 또는 냉각시킨다. 상기 가스 입구 포트(6)는 반응 챔버(1)로부터 절연되고, 외부의 고주파수 파워 서플라이(4)에 연결된다. 별법으로, 상기 서셉터(3)는 상기 파워 서플라이(4)에 연결될 수 있다. 그러므로, 상기 샤워헤드(2)와 상기 서셉터(3)는 고주파수 전극으로서 역할을 수행하고, 반도체 기판(5)의 표면 근처에서 플라즈마 반응 영역을 생성한다.
상기 가스들은 공정 가스를 구성하도록 상기 가스 입구 포트(6)의 상류에서 혼합될 수 있거나, 상기 가스들 각각 또는 일부가 샤워헤드(2)로 개별적으로 유입될 수 있다. 이미 진공화된 반응 챔버(1) 내부에 위치하는, 상기 샤워헤드(2)와 상기 반도체 기판(5) 사이의 공간은 단일 주파수 또는 혼합 주파수(예컨대, 13.56 MHz 내지 60 MHz)를 가지는 RF 파워로 충전되고, 상기 공간은 플라즈마 영역으로 작용한다. 상기 서셉터(3)는 상기 반도체 기판(5)을 온도 제어기로 연속적으로 가열 또는 냉각시키고, 바람직하게는 -50℃ - 50℃인 미리 설정된 온도에서 상기 기 판(5)을 유지한다. 샤워헤드(2)의 미세한 개구부들을 통해 공급된 공정 가스는, 미리 설정된 시간 동안 반도체 기판(5)의 표면 근처의 플라즈마 영역에서 남게 된다.
상기 절연막이 상기 기판 위에 증착될 때, 상기 반응 챔버 내에서 상기 기판을 유지하면서, 상기 반응 챔버 내부의 가스는 상기 배기 포트(8)를 통해 배출되고, 환원 가스 또는 환원 가스와 불활성 가스의 혼합물로 교체된다.
일 실시양태에서, 상기 예비 단계는 상기 증착 단계를 위한 동일한 반응 챔버를 사용하여 수행될 수 있다. 다른 실시양태에서, 상기 예비 단계는 별도의 챔버에서 수행될 수 있다.
일 실시양태에서, 순차적으로, 도 2에 도시된 UV 경화 장치를 이용하여, 상기 반응기로부터 인출된 반도체 기판 위에서 경화 공정이 수행된다. 상기 UV 경화 장치는 공정 챔버(11), UV 램프(12), 서셉터(13), 배기 포트(14) 및 가스 입구 포트(15)를 포함한다. 상기 UV 램프와 서셉터(13)는 평행하게 배치되며, 각각 그 안에 내장된 히터에 의해 가열된다. 작업물인 상기 반도체 기판(16)은 상기 서셉터(13) 위에 배치되고, 가열되고 지지된다. 172-250 nm에서 선택되는 파장의 범위를 가진 UV 램프로부터 조사되면서, 빛이 반도체 기판(16) 측으로 방사된다. 상기 반도체 기판이 방사될 때, He, H2, N2, CO2 등과 같은 가스들이 상기 가스 입구 포트(15)를 통해 유입된다. 저-유전상수(low-dielectric-constant) 구조로부터 탈착된 H, O, C 등에 의해 기공들이 형성되자마자, 불안정한 결합들은 더이상 존재하지 않는다; 그러므로, 저-유전상수 및 더 높은 강도를 가지는 막이 획득될 수 있다.
UV 경화 장치로서, 미국특허출원 제11/040,863호에 개시된 장치가 사용될 수 있고, 상기 문헌의 개시는 본문 전체로서 본원에 참조문헌으로 포함된다.
상기 열처리 단계가, 상기 UV 경화 대신에 열적 어닐링에 의해 수행될 수 있다.
일 실시양태에서, 순차적으로, 상기 서셉터 온도는 200℃ 내지 1,100℃(바람직하게는 700℃ 내지 1,000℃, 또는 900℃ 이상 1,000℃ 이하)이고, 상기 압력은 300 Pa 내지 대기압이고, N2와 같은 불활성 가스의 유속은 0.5 SLM 내지 5 SLM(바람직하게는 1 SLM 내지 3 SLM)이고, 지속시간은 100초 내지 120분인 조건들 하에서 어닐링함으로써, 상기 반응기로부터 인출된 반도체 기판 위에서 상기 열처리 단계가 수행된다.
상기 열처리 단계의 결과로서, 일 실시양태에서 실리콘 함유 탄화수소 화합물을 사용하여, 2.3 내지 3.0의 유전상수를 가지는 저-유전상수 막(low-k film)이 오목부 내에 형성될 수 있다. 다른 실시양태에서, 상기 열처리 단계의 결과로서, 산화막을 위한 전구체를 사용하여, 3.85 내지 4.0의 유전상수를 가지는 산화막이 상기 오목부 내에 형성될 수 있다.
조건 및/또는 구조가 특정되지 않은 본원의 개시에서, 당업자는 본원의 개시를 고려하여 통상적인 실험에 따라, 이러한 조건 및/또는 구조를 용이하게 제공할 수 있다. 따라서, 동일한 양수인에 의해 소유된 미국특허출원 제11/465,751호에 개시된 조건들 및/또는 구조들은 본 발명의 실시양태들에서 이용될 수 있다.
실시예
실험들은 하기에 기술된 바와 같이 수행되었다. 그 결과는 하기의 테이블에 나타나 있다. 이러한 실험들에서, 평범한 플라즈마 CVD 장치(Eagle®10, ASM Japan K.K.)가 실험 장치로서 사용되었다.
기판의 직경은 200 mm 이다. 유전상수 측정을 위한 각 절연막의 두께가 200 nm로 설정된 것을 제외하고는, 각 절연막의 두께는 50 nm 로 설정되었다.
절연막은 Si 웨이퍼 위에 형성되고, 60 nm의 폭을 가지고 600 nm의 깊이를 가진 홀을 포함하는, SiN의 불규칙한 표면 위에 형성되고 그 표면 내부를 채운다. 진공압 내지 대기압에서 어닐링을 수행할 수 있는 석영 보트(quartz boat)가 마련된 석영 튜브(quartz tube)로 제작된 배치 타입의 퍼니스 챔버(furnace chamber) 내에서 어닐링이 수행되었다. LAL500(일본의 Stella-Chemifa에서 제조된)의 희석하지 않은 액체를 사용하여 습식 에칭 속도가 측정되었다.
비교의 실시예 1
예비 처리로써(절연막의 패팅 특성을 향상시키기 위한), 다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 기판은 플라즈마로 처리되었다.
- 서셉터 온도: 0℃
- 보조 가스: He: 1000 sccm
- 반응기 압력: 1000 Pa
- 가해지는 27.12 MHz RF: 200 W
다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 절연막은 기판 위에 형성되었고, 최종적인 박막은 다음의 특성들을 가진다.
- 서셉터 온도: 0℃
- TRES(트리에톡시실란, triethoxysilane) 유속: 50 sccm
- 이소프로필 알콜 유속: 150 sccm
- O2 유속: 1000 sccm
- He 유속: 500 sccm
- 가해지는 27 MHz RF: 250 W
- 반응기 압력: 666 Pa
- 상기 실리콘 기판과 상기 상부 전극 사이의 공간: 20 mm
- 증착 속도: 260 nm/min
상기 기판 위에 형성된 박막은 그 후 다음의 조건들 하에서 어닐링 장치를 이용하여 경화되었다. 그리고 상기 경화된 막은 다음의 특성들을 가진다.
- 열적 경화 공정: 서셉터 온도: 900℃, N2: 3 SLM, 압력: 800 Pa,
시간: 600초.
- 유전상수: 3.9
- 막 내부의 탄소 함유량: 0%
- 블랭킷 웨이퍼상에서의 습식 에칭 속도: 40 nm/min
- 100 nm 홀들을 가지는 불규칙한 표면상에서의 습식 에칭 속도: 350 nm/min
- 밀도: 2.1 g/cm3
비교의 실시예 2
예비 처리로써(절연막의 패팅 특성을 향상시키기 위한), 다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 기판은 플라즈마로 처리되었다.
- 서셉터 온도: 0℃
- 보조 가스: He: 1000 sccm
- 반응기 압력: 1000 Pa
- 가해지는 27.12 MHz RF: 200 W
다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 절연막은 기판 위에 형성되었고, 최종적인 박막은 다음의 특성들을 가진다.
- 서셉터 온도: 0℃
- TRES(트리에톡시실란, triethoxysilane) 유속: 50 sccm
- 이소프로필 알콜 유속: 150 sccm
- O2 유속: 600 sccm
- He 유속: 500 sccm
- 가해지는 27 MHz RF: 250 W
- 반응기 압력: 666 Pa
- 상기 실리콘 기판과 상기 상부 전극 사이의 공간: 20 mm
- 증착 속도: 1450 nm/min
상기 기판 위에 형성된 박막은 그 후 다음의 조건들 하에서 상기 퍼니스 챔버를 이용하여 경화되었다. 그리고 상기 경화된 막은 다음의 특성들을 가진다.
- 열적 경화 공정: 서셉터 온도: 900℃, N2: 3 SLM, 압력: 800 Pa,
시간: 600초.
- 유전상수: 3.95
- 막 내부의 탄소 함유량: 0%
- 블랭킷 웨이퍼상에서의 습식 에칭 속도: 데이터 없음
- 100 nm 홀들을 가지는 불규칙한 표면상에서의 습식 에칭 속도: 160 nm/min
- 밀도: 2.12 g/cm3
실시예 1
예비 처리로써(절연막의 패팅 특성을 향상시키기 위한), 다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 기판은 플라즈마로 처리되었다.
- 서셉터 온도: 0℃
- 보조 가스: He: 1000 sccm
- 반응기 압력: 1000 Pa
- 가해지는 27.12 MHz RF: 200 W
다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 절연막은 기판 위에 형성되었고, 최종적인 박막은 다음의 특성들을 가진다.
- 서셉터 온도: 5℃
- 소스 가스: TRES(트리에톡시실란): 10 sccm
- 첨가 가스: 이소프로필 알콜: 150 sccm
- 산화 가스: O2: 50 sccm
- 불활성 가스: He: 630 sccm
- 가해지는 27 MHz RF: 200 W
- 반응기 압력: 266.6 Pa
- 상기 실리콘 기판과 상기 상부 전극 사이의 공간: 10 mm
- 증착 속도: 49 nm/min
상기 기판 위에 형성된 박막은 그 후 다음의 조건들 하에서 상기 퍼니스 챔버를 이용하여 경화되었다. 그리고 상기 경화된 막은 다음의 특성들을 가진다.
- 열적 경화 공정: 서셉터 온도: 950℃, N2: 1 SLM, 압력: 대기압,
시간: 90분.
- 유전상수: 3.9
- 2 MV에서 누출 전류: 7.0 x 10-12 A/cm2
- 파손(breakdown) 전압: 8.4 MV
- 막 내부의 탄소 함유량: 0%
- 블랭킷 웨이퍼상에서의 습식 에칭 속도: 30 nm/min
(참고로, 열적 산화막: 40 nm/min)
- 100 nm 홀들을 가지는 불규칙한 표면상에서의 습식 에칭 속도: 75 nm/min
- 밀도: 2.3 g/cm3
실시예 2
예비 처리로써(절연막의 패팅 특성을 향상시키기 위한), 다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 기판은 플라즈마로 처리되었다.
- 서셉터 온도: 0℃
- 보조 가스: He: 1000 sccm
- 반응기 압력: 1000 Pa
- 가해지는 27.12 MHz RF: 200 W
다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 절연막은 기판 위에 형성되었고, 최종적인 박막은 다음의 특성들을 가진다.
- 서셉터 온도: 0℃
- 소스 가스: TRES(트리에톡시실란): 10 sccm
- 첨가 가스: 이소프로필 알콜: 50 sccm
- 산화 가스: O2: 20 sccm
- 불활성 가스: He: 630 sccm
- 가해지는 27 MHz RF: 150 W
- 반응기 압력: 133.3 Pa
- 상기 실리콘 기판과 상기 상부 전극 사이의 공간: 10 mm
- 증착 속도: 29 nm/min
상기 기판 위에 형성된 박막은 그 후 다음의 조건들 하에서 상기 퍼니스 챔버를 이용하여 경화되었다. 그리고 상기 경화된 막은 다음의 특성들을 가진다.
- 열적 경화 공정: 서셉터 온도: 950℃, N2: 1 SLM, 압력: 대기압,
시간: 90분.
- 유전상수: 3.9
- 2 MV에서 누출 전류: 4.3 x 10-12 A/cm2
- 파손 전압: 8.5 MV
- 막 내부의 탄소 함유량: 0%
- 블랭킷 웨이퍼상에서의 습식 에칭 속도: 25 nm/min
(참고로, 열적 산화막: 40 nm/min)
- 100 nm 홀들을 가지는 불규칙한 표면상에서의 습식 에칭 속도: 70 nm/min
- 밀도: 2.4 g/cm3
실시예 3
예비 처리로써(절연막의 패팅 특성을 향상시키기 위한), 다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 기판은 플라즈마로 처리되었다.
- 서셉터 온도: 0℃
- 보조 가스: He: 1000 sccm
- 반응기 압력: 1000 Pa
- 가해지는 27.12 MHz RF: 200 W
다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 절연막은 기판 위에 형성되었고, 최종적인 박막은 다음의 특성들을 가진다.
- 서셉터 온도: 10℃
- 소스 가스: 1,1,3,3-테트라디메킬디실란(tetradimethyldisilane): 10 sccm
- 첨가 가스: 이소프로필 알콜: 50 sccm
- 산화 가스: O2: 20 sccm
- 불활성 가스: He: 1200 sccm
- 가해지는 27 MHz RF: 100 W
- 반응기 압력: 266.6 Pa
- 상기 실리콘 기판과 상기 상부 전극 사이의 공간: 10 mm
- 증착 속도: 70 nm/min
상기 기판 위에 형성된 박막은 그 후 다음의 조건들 하에서 상기 퍼니스 챔버를 이용하여 경화되었다. 그리고 상기 경화된 막은 다음의 특성들을 가진다.
- 열적 경화 공정: 서셉터 온도: 950℃, N2: 1 SLM, 압력: 대기압,
시간: 90분.
- 유전상수: 4.1
- 2 MV에서 누출 전류: 8.9 x 10-12 A/cm2
- 파손 전압: 8 MV
- 막 내부의 탄소 함유량: 0%
- 블랭킷 웨이퍼상에서의 습식 에칭 속도: 35 nm/min
(참고로, 열적 산화막: 40 nm/min)
- 100 nm 홀들을 가지는 불규칙한 표면상에서의 습식 에칭 속도: 80 nm/min
- 밀도: 2.2 g/cm3
실시예 4
예비 처리로써(절연막의 패팅 특성을 향상시키기 위한), 다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 기판은 플라즈마로 처리되었다.
- 서셉터 온도: 0℃
- 보조 가스: He: 1000 sccm
- 반응기 압력: 1000 Pa
- 가해지는 27.12 MHz RF: 200 W
다음의 조건들 하에서, 도 1에 도시된 플라즈마 CVD 장치를 이용하여, 절연막은 기판 위에 형성되었고, 최종적인 박막은 다음의 특성들을 가진다.
- 서셉터 온도: 10℃
- 소스 가스: 헥사데톡시디실록산(hexaethoxydisiloxane): 10 sccm
- 첨가 가스: 이소프로필 알콜: 150 sccm
- 산화 가스: O2: 65 sccm
- 불활성 가스: He: 1000 sccm
- 가해지는 27 MHz RF: 150 W
- 반응기 압력: 133.3 Pa
- 상기 실리콘 기판과 상기 상부 전극 사이의 공간: 10 mm
- 증착 속도: 90 nm/min
상기 기판 위에 형성된 박막은 그 후 다음의 조건들 하에서 상기 퍼니스 챔버를 이용하여 경화되었다. 그리고 상기 경화된 막은 다음의 특성들을 가진다.
- 열적 경화 공정: 서셉터 온도: 950℃, N2: 1 SLM, 압력: 대기압,
시간: 90분.
- 유전상수: 3.95
- 2 MV에서 누출 전류: 3.0 x 10-12 A/cm2
- 파손(breakdown) 전압: 9 MV
- 막 내부의 탄소 함유량: 0%
- 블랭킷 웨이퍼상에서의 습식 에칭 속도: 40 nm/min
(참고로, 열적 산화막: 40 nm/min)
- 100 nm 홀들을 가지는 불규칙한 표면상에서의 습식 에칭 속도: 85 nm/min
- 밀도: 2.15 g/cm3
상기 결과들은 아래의 테이블에 나타난다.
테이블
증착 속도 (nm/min) 어닐링 이후의 밀도 (g/cm3) 블랭킷 웨이퍼 상에서의 에칭 속도 (nm/min) 불규칙한 표면상에서의 에칭 속도 (nm/min)
비교 실시예 1 260 2.1 40 350
비교 실시예 2 145 2.12 - 160
실시예 1 49 2.3 30 75
실시예 2 29 2.4 25 70
실시예 3 70 2.2 35 80
실시예 4 90 2.15 40 85
도 3은 밀도(g/cm3)와 증착 속도(nm/min) 사이의 관계를 나타내는 그래프이다. 도 3에 도시된 바와 같이, 막의 밀도는 일반적으로 막 밀도와 증착 속도는 상관관계에 있게 되고, 특히 증착 속도가 약 100 nm/min 이하일 때, 증착 속도가 낮을수록 막의 밀도가 높아진다. 증착 속도가 150 내지 250 사이에 있을 때, 상기 막의 밀도는 많이 변하지 않는다.
도 4는 상기 불규칙한 표면들 위에서의 습식 에칭 속도(nm/min)와 증착 속도(nm/min) 사이의 관계를 나타내는 그래프이다. 도 4에 도시된 바와 같이, 증착 속도가 약 100 nm/min 이하일 때, 상기 불규칙한 표면들 위에서의 습식 에칭 속도는, 증착 속도가 100 nm/min을 초과할 때보다 상당히 낮으며, 반면에 블랭킷 웨이퍼 상에서의 에칭 속도는 증착 속도와의 관계에서 크게 변하지 않는다. 약 100 nm/min에서 증착 속도를 제어함으로써, 막 밀도는 상당히 증가할 수 있고, 특히 불규칙한 표면들 상에서의 습식 에칭 속도는 놀랍게도 블랭킷 웨이퍼 상에서의 습식 에칭 속도의 약 2배(3배 미만인)인 약 100 nm/min 이하 정도로 낮아질 수 있다. 증착 속도가 100 nm/min를 넘을 때, 블랭킷 웨이퍼 상에서의 습식 에칭 속도와 불규칙한 표면들상에서의 습식 에칭 속도의 차이는 더 커지게 된다.
실시예 4의 막 밀도와 비교 실시예 2의 막 밀도는 각각 2.15 g/cm3 및 2.12 g/cm3 이며, 이는 상대적으로 근접하다. 그러나, 실시예 4에서의 불규칙한 표면 상에서의 습식 에칭 속도는 비교 실시예 2에서의 습식 에칭 속도의 1/4 미만이다. 2.15 g/cm3 와 2.12 g/cm3 사이의 막 밀도는, 불규칙한 표면상에서의 습식 에칭 속도와 관련된 막 구조에 중요할 수 있다.
본 발명은 상기 언급된 실시양태들과, 다음을 포함하는 다른 여러 가지 실시양태들을 포함한다.
1) 반도체 기판상에 저-유전상수 막 및 산화막을 형성하는 방법에 있어서, 상기 방법은 재료 가스로서, 일반식 SiaObHcNx(식에서, a, b, c는 임의의 정수이고, x는 0과 2 사이의 정수)에 의해 표현되는 실리콘 탄화수소 화합물을 사용함으로써, 필링 성능을 나타내는 산화막을 형성하는 것을 특징으로 한다. 또한, 상기 방법은 흘들과 배선들 사이의 공간들을 채우기 위한 활성화된 액체 전구체를 형성하기 위하여 서셉터 온도를 -50℃ 내지 50℃의 범위로 설정함으로써 달성되는, 향상된 필 링 성능에 의해 특징지어진다.
2) 상기 1)에 있어서, 향상된 필링 성능을 달성하는데 낮은 점성이 필수적이라는 사실을 고려하여, 아세톤, IPA 또는 다른 CH 또는 CHO 군들의 첨가 가스들이 점성을 낮추기 위해 사용된다.
3) 상기 1) 또는 2)에 있어서, 상기 재료 분자들은 적어도 하나의 Si-O 결합을 가지며, 게다가 Si-Si, Si-N- 또는 Si-H 결합 또는 이들의 임의의 조합을 가지는 재료 분자들이 막 밀도를 증가시키는데 사용되어 습식 에칭 속도를 낮춘다.
4) 상기 1) 내지 3) 중 어느 하나에 있어서, 패턴 필링 속도 및 밀도의 관계(성장 속도를 증가시키는 것은 블랭킷 막의 밀도가 증가시키지만, 불규칙한 패턴 내에 형성되는 막의 밀도는 감소시킨다는 관계)로 미루어 보아, 막의 성장 속도는 100 nm/min 이하로 유지되고, 그 결과 열처리 이후 2.1 g/cm3 를 초과하는 막의 밀도가 달성될 수 있다.
5) 상기 1) 내지 4) 중 어느 하나에 있어서, 상기 베이스 층의 표면 상태는, 표면 장력을 제어하기 위한 플라즈마 선행 공정에 의해 소수성에서 친수성으로 변화하고, 그럼으로써 습윤성을 향상시킨다. 왜냐하면, 습윤성은 그 위에 막이 형성되는 베이스에 의해 상당히 영향을 받기 때문이다.
6) 상기 5)에 있어서, 상기 플라즈마 선행 공정은 산화제, 비활성 가스, 실리콘 재료 또는 그들의 조합을 이용한다.
7) 상기 1) 내지 6) 중 어느 하나에 있어서, 작은 폭을 가진 플라즈마-활성 화된 액체 전구체를 형성하기 위하여, IPA, 아세톤, 헥산 또는 다른 CH 또는 CHO 군들의 첨가 가스들이 플라즈마 방전을 일으키는데 사용되는 선행 공정에서, 때때로 액체 전구체가 사용될 수 있다.
8) 상기 1) 내지 7) 중 어느 하나에 있어서, 막 형성 공정 이후에 어닐링이 수행되어 상기 막을 단단하게 한다. 어닐링은, 열적 어닐링(heat annealing) 또는 UV 경화(curing)로서 실행된다.
9) 상기 8)에 있어서, 상기 어닐링 온도는 베이스 막을 고려하여 200℃ 내지 1,100℃의 범위에서 제어된다.
10) 상기 1) 내지 9) 중 어느 하나에 있어서, 필링 산화막(filling oxide film)을 형성하는데 이용되는 실리콘 탄화수소는 하기의 화학식에 의해 표현되는 화합물 중 적어도 하나의 타입을 포함한다.
Figure 112007065875305-PAT00006
(TES:HSi(OC2H5)3)
(여기서, X는 H, OH, CH3, C2H5, OCH3 또는 OC2H5 중 하나이다). 예를 들면, 상기 화합물은 트리에톡시실란(triethoxysilane)일 수 있다.
11) 상기 1) 내지 9) 중 어느 하나에 있어서, 필링 산화막을 형성하는데 이용되는 실리콘 탄화수소는 하기의 화학식에 의해 표현되는 화합물 중 적어도 하나의 타입을 포함한다.
Figure 112007065875305-PAT00007
(여기서, X는 H, OH, CH3, C2H5, OCH3 또는 OC2H5 중 하나이다). 예를 들면, 상기 화합물은 트리메톡시실란(trimethoxysilane)일 수 있다.
12) 상기 1) 내지 9) 중 어느 하나에 있어서, 필링 산화막을 형성하는데 이용되는 실리콘 탄화수소는 하기의 화학식에 의해 표현되는 화합물 중 적어도 하나의 타입을 포함한다.
Figure 112007065875305-PAT00008
(여기서, X는 H, OH, CH3, C2H5, OCH3 또는 OC2H5 중 하나이다). 예를 들면, 상기 화합물은 1,1,3,3 테트라메틸 디실록산(tetramethyl disiloxane)일 수 있다.
13) 상기 1) 내지 9) 중 어느 하나에 있어서, 필링 산화막을 형성하는데 이용되는 실리콘 탄화수소는 하기의 화학식에 의해 표현되는 화합물 중 적어도 하나의 타입을 포함한다.
Figure 112007065875305-PAT00009
(여기서, X는 H, OH, CH3, C2H5, OCH3 또는 OC2H5 중 하나이고, Y는 H, CH3 또는 C2H5 중 하나이다). 예를 들면, 상기 화합물은 1,1,3,3 테트라메틸 디실라잔(tetramethyl disilazane)일 수 있다.
14) 상기 1) 내지 9) 중 어느 하나에 있어서, 필링 산화막을 형성하는데 이용되는 실리콘 탄화수소는 하기의 화학식에 의해 표현되는 화합물 중 적어도 하나의 타입을 포함한다.
Figure 112007065875305-PAT00010
(여기서, X는 H, OH, CH3, C2H5, OCH3 또는 OC2H5 중 하나이다). 예를 들면, 상기 화합물은 비스 트리메틸 실란(bis trimethyl silane)일 수 있다.
15) 상기 1) 내지 14) 중 어느 하나에 있어서, 산화막은, 실리콘 탄화수소 재료에 추가하여, 산화 재료, 첨가 가스 및 비활성 가스 중 임의의 하나 또는 조합을 사용함으로써 형성된다.
16) 상기 15)에 있어서, 상기 첨가 가스는 H2 또는 CxHyOz(x는 1 내지 10의 정수, y는 자연수, z는 0, 1 또는 2) 또는 이들의 조합을 포함한다.
17) 상기 15) 또는 16)에 있어서, 상기 첨가 가스의 유속은 5 sccm과 900 sccm 사이이다.
18) 상기 15) 내지 17) 중 어느 하나에 있어서, 상기 비활성 가스는 He, Ar, Kr 또는 Xe 또는 이들의 임의의 조합을 포함한다.
19) 상기 15) 내지 18) 중 어느 하나에 있어서, 상기 비활성 가스의 유속은 10 sccm과 10,000 sccm 사이이다.
20) 상기 15) 내지 19) 중 어느 하나에 있어서, 상기 산화 재료는 O3, O2, CO2, N2O 또는 H2O 또는 이들의 임의의 조합을 포함한다.
21) 상기 15) 내지 20) 중 어느 하나에 있어서, 상기 산화 재료의 유속은 10 sccm과 3,000 sccm 사이이다.
22) 상기 15) 내지 21) 중 어느 하나에 있어서, 질소 함유량이 제어된다면, 질소 함유량은 N2, NH3 또는 N2O 또는 이들의 임의의 조합을 이용하여 제어된다.
23) 상기 22)에 있어서, 상기 질소 가스의 유속은 10 sccm과 3,000 sccm 사이이다.
24) 상기 1) 내지 23) 중 어느 하나에 있어서, 13.56 MHz 내지 60 MHz의 범위 내에서 선택된 임의의 주파수를 가지는 고-주파수 파워가 가해진다.
당해 기술분야의 통상의 기술자는, 본 발명의 사상으로부터 벗어나지 않은 많은 다양한 변형례들이 만들어질 수 있다는 사실을 이해할 것이다. 그러므로, 본 발명의 형태들은 단지 예시적인 것에 지나지 않고, 본 발명의 범위를 한정하고자 하는 것이 아니라는 것이 명백히 이해되어야 한다.
본 발명의 여러 특징들은 바람직한 실시양태들의 도면을 참조하며 기술될 것이며, 상기 도면들은 본 발명을 예시하기 위한 것이지 한정하기 위한 것은 아니다.
도 1은 본 발명에 따른, 절연막을 형성하는데 사용할 수 있는 플라즈마 CVD 장치를 도시하는 개략적인 도면.
도 2는 본 발명의 일 실시양태에 따른 UV 경화(curing) 장치를 나타내는 개략적인 도면.
도 3은 본 발명의 일 실시양태에 따른 밀도(g/cm3)와 증착 속도(nm/min) 사이의 관계를 나타내는 그래프.
도 4는 본 발명의 일 실시양태에 따른 불규칙적인 표면상에서의 습식-에칭(wet-etch) 속도(nm/min)와 증착 속도(nm/min) 사이의 관계를 나타내는 그래프.

Claims (21)

  1. 플라즈마 반응에 의해 반도체 기판상에 절연막을 형성하는 방법에 있어서,
    반응 챔버 내의 서셉터 상에 배치된 오목부를 포함하는 불규칙한 표면을 가지는 기판을 제공하는 단계;
    상기 반응 챔버 내로 공정 가스를 유입시키는 단계;
    상기 서셉터를 -50℃ 내지 50℃의 온도로 제어하는 단계; 및
    100 nm/min 이하의 증착 속도로, 상기 오목부를 포함하는 상기 기판의 상기 불규칙한 표면 위에 Si, O, H 및 선택적으로(optionally) N으로 구성된 절연막을 플라즈마 반응에 의해 증착하는 단계;를 포함하며,
    상기 공정 가스는,
    (ⅰ) 분자 내에서, 적어도 하나의 Si-O 결합과, Si-Si 결합, Si-N 결합 및 Si-H 결합으로 이루어진 군에서 선택된 적어도 하나의 결합을 포함하는 실리콘 함유 탄화수소 화합물의 소스 가스(source gas); 및 (ⅱ) C, H 및 선택적으로 O로 구성된 첨가 가스(additive gas);를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서,
    상기 절연막을 가진 상기 기판을 열처리하는(heat-treating) 단계를 더 포함함으로써, 열처리의 결과로써 상기 절연막의 밀도를 2.12 g/cm3 보다 큰 값까지 증 가시키는 것을 특징으로 하는 방법.
  3. 제1항에 있어서,
    상기 반응 가스를 유입시키는 단계 전에, 예비 처리로써, 산화 가스, 불활성 가스 및 C, H, 선택적으로 O로 구성된 가스로 이루어진 군으로부터 선택된 보조 가스를, 상기 기판의 플라즈마 처리를 위하여 상기 반응 챔버로 유입시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  4. 제1항에 있어서,
    상기 공정 가스는 산화 가스와 불활성 가스를 더 포함하는 것을 특징으로 하는 방법.
  5. 제4항에 있어서,
    상기 소스 가스의 유속은 상기 공정 가스의 전체 유속의 2.8% 이하인 것을 특징으로 하는 방법.
  6. 제1항에 있어서,
    상기 첨가 가스는 이소프로필 알콜(isopropyl alcohol) 또는 아세톤(acetone)인 것을 특징으로 하는 방법.
  7. 제1항에 있어서,
    상기 불규칙한 표면은 알루미늄, 텅스텐, 또는 텅스텐 실리콘의 3차원적 구조를 가진 것을 특징으로 하는 방법.
  8. 제1항에 있어서,
    상기 절연막은 25 nm 내지 70 nm의 두께를 가진 것을 특징으로 하는 방법.
  9. 제1항에 있어서,
    상기 오목부를 가지는 상기 불규칙한 표면은 배선(wiring)을 위해 패터닝된(patterned) 표면인 것을 특징으로 하는 방법.
  10. 제1항에 있어서,
    상기 기판의 불규칙한 표면의 오목부는 약 1/3 내지 약 1/10의 종횡비(aspect ratio)를 가지는 것을 특징으로 하는 방법.
  11. 제3항에 있어서,
    상기 보조 가스는 He 또는 H2인 것을 특징으로 하는 방법.
  12. 제3항에 있어서,
    상기 보조 가스는 이소프로필 알콜, 아세톤 또는 헥산(hexane)인 것을 특징으로 하는 방법.
  13. 제2항에 있어서,
    상기 열처리 단계는 열적 어닐링(thermal annealing) 또는 자외선 경화(UV curing)에 의해 수행되는 것을 특징으로 하는 방법.
  14. 제13항에 있어서,
    상기 어닐링 단계는 200℃ 내지 1,100℃의 온도에서 수행되는 것을 특징으로 하는 방법.
  15. 제1항에 있어서,
    상기 불규칙한 표면의 오목부는 홀들 또는 홈(groove)들을 포함하며,
    상기 증착 단계는 상기 절연막으로 상기 홀들 또는 홈들을 채우도록 상기 불규칙한 표면 위에서 수행되는 것을 특징으로 하는 방법.
  16. 제1항에 있어서,
    상기 절연막은 실리콘 산화막인 것을 특징으로 하는 방법.
  17. 제1항에 있어서,
    상기 실리콘 함유 탄화수소 화합물은,
    Figure 112007065875305-PAT00011
    Figure 112007065875305-PAT00012
    Figure 112007065875305-PAT00013
    Figure 112007065875305-PAT00014
    Figure 112007065875305-PAT00015
    로 이루어진 군에서 선택된 적어도 하나의 화합물이며,
    여기서, 각 X는 독립적으로 H, OH, CH3, C2H5, OCH3 또는 OC2H5이며, Y는 H, CH3 또는 C2H5 인 것을 특징으로 하는 방법.
  18. 제16항에 있어서,
    각 공식에서 적어도 하나의 X는 H도 아니고, OH도 아닌 것을 특징으로 하는 방법.
  19. 상호접속 구조(interconnect structure)를 형성하는 방법에 있어서,
    기판 내의 상호접속을 위한 3차원적 구조를 형성하는 단계; 및
    제1항의 방법을 이용하여 상기 3차원적 구조의 표면 위에 절연막을 형성하는 단계;를 포함하는 것을 특징으로 하는 방법.
  20. 제19항에 있어서,
    상기 절연막을 습식 에칭하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  21. 제19항에 있어서,
    상기 3차원적 구조를 형성하는 단계는, 배선층으로써 알루미늄, 텅스텐 또는 텅스텐 실리콘 층을 형성하는 단계와, 일 패턴으로 그 층을 에칭하는 단계를 포함하는 것을 특징으로 하는 방법.
KR1020070092130A 2006-09-21 2007-09-11 고밀도의 절연막을 형성하는 방법 KR20080027138A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/525,147 2006-09-21
US11/525,147 US7718553B2 (en) 2006-09-21 2006-09-21 Method for forming insulation film having high density

Publications (1)

Publication Number Publication Date
KR20080027138A true KR20080027138A (ko) 2008-03-26

Family

ID=39225514

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070092130A KR20080027138A (ko) 2006-09-21 2007-09-11 고밀도의 절연막을 형성하는 방법

Country Status (2)

Country Link
US (1) US7718553B2 (ko)
KR (1) KR20080027138A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101302592B1 (ko) * 2011-03-07 2013-08-30 한국표준과학연구원 실리콘 화합물 박막의 형성방법
KR20140143694A (ko) * 2013-06-07 2014-12-17 에이에스엠 아이피 홀딩 비.브이. 기판의 홈을 절연막으로 채우는 방법

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080150028A1 (en) * 2006-12-21 2008-06-26 Advanced Micro Devices, Inc. Zero interface polysilicon to polysilicon gate for semiconductor device
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10343907B2 (en) 2014-03-28 2019-07-09 Asm Ip Holding B.V. Method and system for delivering hydrogen peroxide to a semiconductor processing chamber
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9431238B2 (en) 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN105977148A (zh) * 2016-07-01 2016-09-28 深圳市华星光电技术有限公司 绝缘层的制造方法、阵列的制造方法及阵列基板
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102324630B1 (ko) * 2017-03-29 2021-11-10 삼성전자주식회사 집적회로 소자의 제조 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US20190249303A1 (en) * 2018-02-09 2019-08-15 Asm Ip Holding B.V. Chemical precursors and methods for depositing a silicon oxide film on a substrate utilizing chemical precursors
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) * 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2844896B2 (ja) * 1990-10-17 1999-01-13 信越化学工業株式会社 耐熱性絶縁塗料
US5487920A (en) * 1994-04-19 1996-01-30 The Boc Group, Inc. Process for plasma-enhanced chemical vapor deposition of anti-fog and anti-scratch coatings onto various substrates
KR100317569B1 (ko) * 1995-07-13 2001-12-24 다마호리 다메히코 세라믹스질 물질 형성용 조성물 및 세라믹스질 물질의제조 방법
US6551665B1 (en) * 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US7354873B2 (en) * 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US20060258176A1 (en) * 1998-02-05 2006-11-16 Asm Japan K.K. Method for forming insulation film
US7064088B2 (en) * 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6514880B2 (en) * 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6784123B2 (en) * 1998-02-05 2004-08-31 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6432846B1 (en) * 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6881683B2 (en) * 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US7582575B2 (en) * 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
CN100431110C (zh) * 2000-08-18 2008-11-05 东京毅力科创株式会社 低介电氮化硅膜的形成方法和半导体器件及其制造工艺
US6436822B1 (en) * 2000-11-20 2002-08-20 Intel Corporation Method for making a carbon doped oxide dielectric material
SG98468A1 (en) 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
JP3778045B2 (ja) * 2001-10-09 2006-05-24 三菱電機株式会社 低誘電率材料の製造方法および低誘電率材料、並びにこの低誘電率材料を用いた絶縁膜および半導体装置
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20040137757A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Method and apparatus to improve cracking thresholds and mechanical properties of low-k dielectric material
US6740602B1 (en) * 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
US6835664B1 (en) * 2003-06-26 2004-12-28 Micron Technology, Inc. Methods of forming trenched isolation regions
US20050129932A1 (en) * 2003-12-16 2005-06-16 Briley Robert E. Rivet and coating technique
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
JP2006054353A (ja) 2004-08-13 2006-02-23 Az Electronic Materials Kk フラットバンドシフトの少ないシリカ質膜およびその製造法
US7268057B2 (en) * 2005-03-30 2007-09-11 Micron Technology, Inc. Methods of filling openings with oxide, and methods of forming trenched isolation regions
US20070065597A1 (en) * 2005-09-15 2007-03-22 Asm Japan K.K. Plasma CVD film formation apparatus provided with mask
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101302592B1 (ko) * 2011-03-07 2013-08-30 한국표준과학연구원 실리콘 화합물 박막의 형성방법
KR20140143694A (ko) * 2013-06-07 2014-12-17 에이에스엠 아이피 홀딩 비.브이. 기판의 홈을 절연막으로 채우는 방법

Also Published As

Publication number Publication date
US20080076266A1 (en) 2008-03-27
US7718553B2 (en) 2010-05-18

Similar Documents

Publication Publication Date Title
KR20080027138A (ko) 고밀도의 절연막을 형성하는 방법
US7781352B2 (en) Method for forming inorganic silazane-based dielectric film
US7651959B2 (en) Method for forming silazane-based dielectric film
US6991959B2 (en) Method of manufacturing silicon carbide film
US7354873B2 (en) Method for forming insulation film
US9018108B2 (en) Low shrinkage dielectric films
US9029272B1 (en) Method for treating SiOCH film with hydrogen plasma
US8889566B2 (en) Low cost flowable dielectric films
US6919270B2 (en) Method of manufacturing silicon carbide film
US7312524B2 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
JP4272424B2 (ja) 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
US20030113995A1 (en) Method for depositing a low k dielectric film (k&lt;3.5) for hard mask application
US20060258176A1 (en) Method for forming insulation film
JP2015521375A (ja) 流動性膜のための改善された緻密化
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
JP2016096331A (ja) 流動性膜の硬化浸透深度の改善及び応力調整
KR20010072415A (ko) 기질상에 필름을 형성하는 방법 및 장치
TWI831824B (zh) 非uv高硬度低介電常數膜沉積
KR102453724B1 (ko) 개선된 스텝 커버리지 유전체
JP4117768B2 (ja) 半導体基板上のシロキサン重合体膜及びその製造方法
TW202033810A (zh) SiOC膜之氧化還原
JP2006173235A (ja) 絶縁層の形成方法、熱処理装置及び記憶媒体

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid