KR20030084041A - 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법 - Google Patents

다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법 Download PDF

Info

Publication number
KR20030084041A
KR20030084041A KR1020020022516A KR20020022516A KR20030084041A KR 20030084041 A KR20030084041 A KR 20030084041A KR 1020020022516 A KR1020020022516 A KR 1020020022516A KR 20020022516 A KR20020022516 A KR 20020022516A KR 20030084041 A KR20030084041 A KR 20030084041A
Authority
KR
South Korea
Prior art keywords
gas
nitride film
film
silicon nitride
sinx
Prior art date
Application number
KR1020020022516A
Other languages
English (en)
Other versions
KR100448714B1 (ko
Inventor
안재영
이상인
형용우
김영석
강만석
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2002-0022516A priority Critical patent/KR100448714B1/ko
Priority to JP2003118789A priority patent/JP4050655B2/ja
Priority to US10/422,283 priority patent/US6740977B2/en
Publication of KR20030084041A publication Critical patent/KR20030084041A/ko
Application granted granted Critical
Publication of KR100448714B1 publication Critical patent/KR100448714B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 실리콘 나이트라이드막과 보론 나이트라이드막으로 된 다층의 나노라미네이트(nanolaminate) 구조를 갖는 반도체 장치의 절연막 및 그의 형성방법에 관한 것이다.
본 발명의 절연막은 웨이퍼상에 실리콘 나이트라이드막과 보론 나이트라이드막이 교대로 적층된 다층 나노라미네이트 구조를 갖는다. 본 발명은 웨이퍼상에 실리콘 나이트라이드막을 형성하고, 상기 실리콘 나이트라이드막상에 보론 나이트라이드막을 형성하며, 상기 실리콘 나이트라이드막 형성단계와 보론 나이트라이드막 형성단계를 교대로 반복하여 다층 나노라미네이트 박막을 형성한다.
그러므로, 본 발명은 절연막으로 실리콘 나이트라이드막과 보론 나이트라이드막이 교대로 적층된 다층 나노라미네이트 박막을 형성하여 줌으로써, 고온습식 케미칼에 대한 식각율과 유전율을 감소시키고, 보론 나이트라이드막으로부터의 보론의 아웃디퓨전을 방지할 수 있는 이점이 있다.

Description

다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막 및 그의 형성방법{Insulating layer in Semiconductor Device with Multi-nanolaminate Structure of SiNx and BN and Method for Forming the Same}
본 발명은 반도체 장치의 절연막에 관한 것으로서, 보다 구체적으로는 습식식각특성을 개선하고, 저유전상수를 유지하는 실리콘 나이트라이드막과 보론 나이트라이드막의 다층 나노라미네이트 구조를 갖는 유전막에 관한 것이다. 또한, 본 발명은 원자층 증착법을 이용하여 다층 나노라미네이트 박막을 증착하는 방법에 관한 것이다.
보론 나이트라이드(BN, boron nitride) 막은 증착조건에 따라 2.2 내지 5 정도의 낮은 유전율(dielectric constant)을 가지므로 유전율 7의 실리콘 나이트라이드(SiNx, silicon nitride) 막에 비하여 기생 캐패시턴스(parasitic capacitance)를 감소시켜 전달지연(propagation delay)를 감소시킬 수 있다. 또한, BN 막은 CMP(chemical mechanical polishing) 슬러리에 대한 우수한 내성(mechanical resistance) 그리고 산화막과 질화막에 대한 우수한 RIE(reactive ion etching) 선택비 등의 특성을 갖는다. 그러므로, BN 막의 저유전물질 및 CMP 정지층으로서의 적용이 연구되어 왔다.
그러나, BN 막은 하부막과의 접착불량 그리고 열처리공정후 BN 막내의 보론의 아웃-디퓨전(out-diffusion) 등으로 인하여 박막의 안정성이 떨어지기 때문에그의 적용범위가 제한되었다. 또한, BN 막은 대부분 PECVD(plasma enhanced chemical vapor deposition) 방식을 이용하여 형성하기 때문에 스텝 커버리지 특성이 열악하였다.
최근에는 BN 막을 원자층 증착(atomic layer depostion) 방식을 이용하여 증착하므로써, 우수한 스텝 커버리지와 균일도(uniformity) 특성을 확보할 수 있을 뿐만 아니라 200 내지 250℃의 저온에서 컨퍼멀한 화학량론의 박막(conformal stoichiometric boron nitride)을 증착할 수 있었다.
그러나, 상기한 바와 같은 ALD 방법으로 증착된 BN 막은 공기중의 수분에 의해서도 쉽게 가수분해되고, 황산 베이스(H2SO4 base)의 고온 습식 케미칼에 쉽게 식각되는 특성을 갖으며, 또한 내산화성(oxidation resistance) 등이 좋지 않은 문제점이 있다.
본 발명의 목적은 상기한 바와 같은 종래 기술의 문제점을 해결하기 위한 것으로서, 고온 습식케미칼에 대한 식각특성을 향상시키고 저유전율을 유지할 수 있는 SiNx/BN의 다층 나노라미네이트 구조의 절연막 및 그의 형성방법을 제공하는 데 그 목적이 있다.
또한, 본 발명은 보론의 아웃-디퓨전을 방지할 수 있는 SiNx/BN 의 다층 나노라미네이트 구조의 절연막 및 그의 형성방법을 제공하는 데 그 목적이 있다.
도 1은 본 발명의 실시예에 따른 SiNx/BN 의 다층 나노라미네이트 구조를 갖는 절연막의 단면구조도,
도 2a 및 도 2b는 본 발명의 SiNx/BN의 나노라미네이트 박막에 있어서, SiNx 사이클수와 BN 사이클수에 따른 고온 습식케미칼에 대한 식각율과 유전율의 변화를 나타내는 도면,
도 3은 본 발명의 SiNx/BN 의 나노라미네이트 박막과 LPCVD법에 의해 증착된 SiNx 막의 RIE 식각율을 나타내는 도면,
도 4는 열처리공정 전, 후의 ALD BN막과 SiNx/BN 나노라미네이트 박막의 바이어스 전압에 대한 캐패시턴스 커브를 나타내는 도면,
도 5는 본 발명의 SiNx/BN 의 다층 나노라미네이트 구조의 절연막에 있어서, SiNx 막을 형성하기 위한 개스의 공급 시퀀스를 보여주는 도면,
도 6은 본 발명의 SiNx/BN 의 다층 나노라미네이트 구조의 절연막에 있어서, BN 막을 형성하기 위한 개스의 공급 시퀀스를 보여주는 도면,
*도면의 주요부분에 대한 부호의 설명*
100 : 웨이퍼 200 : 다층 나노라미네이트박막
210 : 실리콘 나이트라이드막(SiNx) 220 : 보론 나이트라이드막(BN)
이와 같은 목적을 달성하기 위한 본 발명은 웨이퍼상에 실리콘 나이트라이드막과 보론 나이트라이드막이 교대로 적층된 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막을 제공하는 것을 특징으로 한다.
상기 실리콘 나이트라이드막의 두께는 실리콘 나이트라이드막의 단원자층 두께이상, 200Å 이하이고, 상기 보론 나이트라이드막의 두께는 상기 보론 나이트라이드막의 단원자층 두께이상, 200Å 이하며, 상기 다층 나노라미네이트구조에서, 최하층 또는 최하층 및 최상층에 실리콘 나이트라이드막을 형성한다.
또한, 본 발명은 웨이퍼상에 실리콘 나이트라이드막을 형성하는 단계와; 상기 실리콘 나이트라이드막상에 보론 나이트라이드막을 형성하는 단계와; 상기 실리콘 나이트라이드막 형성단계와 보론 나이트라이드막 형성단계를 교대로 반복하여 다층 나노라미네이트 박막을 형성하는 단계를 포함하는 반도체 장치의 절연막 형성방법을 제공하는 것을 특징으로 한다.
상기 실리콘 나이트라이드막과 보론 나이트라이드막은 원자층 증착법을 이용하여 인시튜로 증착사이클을 소정횟수, 바람직하게는 각각 30과 40회 반복 진행하여 형성하며, 이때 웨이퍼의 온도는 400 내지 600℃이고, 증착압력은 1 내지 3 torr 이다.
상기 실리콘 나이트라이드막을 형성하기 위한 증착사이클은 실리콘을 함유한 제1개스를 챔버로 주입하여 상기 웨이퍼의 표면상에 흡착시키는 제1단계와; 퍼지용 제2개스를 상기 챔버로 주입하여 반응하지 않고 남아있는 제1개스를 배기시키는 제2단계와; 질소를 함유한 제3개스를 상기 챔버로 주입하여 상기 제1개스와 반응시키는 제3단계와; 퍼지용 제4개스를 상기 챔버로 주입하여 반응하지 않고 남아있는제3개스와 개스 반응물을 배기시키는 제4단계를 포함한다.
상기 제1개스는 SiH2Cl2, SiCl4, Si2Cl6, SiH4 개스중 하나이고, 상기 제2 및 제4개스는 비활성개스 또는 N2개스이며, 제3개스는 NH3 또는 N2H4 개스중 하나를 사용하거나 또는 N2, H2, NH3 및 이들의 혼합개스를 래디칼 형태로 사용한다.
상기 보론 나이트라이드막을 형성하기 위한 증착사이클은 보론을 함유한 제1개스를 챔버로 주입하여 상기 실리콘 나이트라이드막의 표면상에 흡착시키는 제1단계와; 퍼지용 제2개스를 상기 챔버로 주입하여 상기 제1개스를 배기시키는 제2단계와; 질소를 함유한 제3개스를 상기 챔버로 주입하여 상기 제1개스와 반응시키는 제3단계와; 퍼지용 제4개스를 상기 챔버로 주입하여 반응하지 않고 남아있는 제3개스와 개스반응물을 배기시키는 제4단계를 포함한다.
상기 제1개스는 BCl3, BBr3, B2H6, BF3 개스중 하나이고, 상기 제2 및 제4개스는 비활성개스 또는 N2 개스이며, 제3개스는 NH3 또는 N2H4 개스중 하나를 사용하거나 또는 N2, H2, NH3 및 이들의 혼합개스를 래디칼 형태로 사용한다.
이하, 본 발명을 보다 구체적으로 설명하기 위하여 본 발명에 따른 실시예를 첨부 도면을 참조하여 설명한다.
도 1은 본 발명의 실시예에 따른 SiNx/BN 의 다층 나노라미네이트 박막구조를 갖는 반도체 장치의 절연막의 단면구조를 도시한 것이다. 도 1을 참조하면, 본 발명의 반도체 장치의 유전막 등으로 사용되는 절연막은 웨이퍼(100)상에 비정질 실리콘 나이트라이드막(amorphous SiNx) (210)과 비정질 보론 나이트라이드막(amorphous BN) (220)이 교대로 반복 적층되어, 다층 나노라미네이트 박막(200)을 형성한다.
본 발명의 SiNx/BN의 다층 나노라미네이트 박막(200)은 리모트 플라즈마 원자층 증착법(RP-ALD, remote plasma atomic layer deposition)을 이용하여 증착하는데, 먼저 SiNx 막(210)을 증착하기 위한 사이클을 일정횟수만큼 진행하고, 이어서 BN 막(220)을 증착하기 위한 사이클을 일정횟수만큼 진행하며, 이러한 SiNx막(210)과 BN막 (220)의 증착공정을 교대로 반복 수행함으로써 SiNx/BN의 다층 나노라미네이트 박막(200)을 형성하는 것이다.
도 2a 및 도 2b는 본 발명의 실시예에 따른 SiNx막을 증착하는 사이클수(m)와 BN막을 증착하는 사이클 수(n)에 따른 SiNx/BN의 나노라미네이트 박막의 특성을 도시한 것이다.
도 2a는 고온습식 케미칼, 예를 들어 황산 보일링(H2SO4 boiling)에 대한 SiNx/BN의 나노라미네이트 박막의 식각율을 도시한 것이다. 도 2a에서, (a)는 m+n이 6 내지 7정도의 값을 갖는 점을 연결한 선이고, (b)는 m+n이 35 내지 40정도의 값을 갖는 점을 연결한 선이며, (c)는 m+n 이 70 내지 80 정도의 값을 갖는 점을 연결한 선이다. 그리고, 각 선(a), (b), (c)상의 비(ratio)는 SiNx 막을 증착하기 위한 사이클수와 BN 막을 증착하기 위한 사이클수의 비, 즉 m:n을 의미한다.
도 2a를 참조하면, SiN막을 증착하기 위한 사이클수(m)와 BN막을 증착하기 위한 사이클수(n)의 합(m+n)에 대한 SiN막을 증착하기 위한 사이클수(m)의 비율(m/(m+n))이 1에 가까워짐에 따라 고온습식 케미칼에 대한 습식 식각율이 급격히 감소함을 알 수 있다. 이와같이 SiNx 막의 사이클수(m)가 증가함에 따라 식각율이 감소하는 현상은 황산보일에 식각되지 않은 SiNx 막에 의해 BN 막의 안정성이 향상되기 때문이다.
또한, m/(m+n)의 비율이 동일한 조건에서는, SiN막과 BN막의 사이클수의 합(m+n), 즉 전체 사이클수가 증가함에 따라 SiNx/BN의 나노라미네이트 박막의 고온 습식 케미칼에 대한 식각율이 감소함을 알 수 있다.
도 2b는 SiN막의 사이클수(m)와 BN막의 사이클수(n)의 합(m+n)에 대한 SiN막의 사이클수(m)의 비율(m/(m+n))에 대한 SiNx/BN의 나노라미네이트 박막의 유전율(dielectric constant)을 도시한 것으로서, 도면상의 비(ratio)는 SiNx 막을 증착하기 위한 사이클수와 BN 막을 증착하기 위한 사이클수의 비, 즉 m:n을 의미한다.
도 2b를 참조하면, 비율(m/(m+n))이 감소함에 따라 SiNx/BN의 나노라미네이트 박막의 유전율은 점차 감소하고, m/(m+n)의 비율이 동일한 조건에서는 SiNx막과 BN막의 사이클수의 합(m+n)이 증가함에 따라 유전율이 감소함을 알 수 있다.
그러므로, 도 2a 및 도 2b로부터, SiNx 막의 사이클수(m)과 BN막의 사이클수(n)를 적정하게 설정하여 SiNx/BN의 다층 나노라미네이트 박막(200)을 증착하게 되면, 습식식각 케미칼에 대한 낮은 식각율과 낮은 유전율을 얻을 수 있다. 예를 들어 m:n=30:40에서 4.6의 저유전상수 및 황산 보일링에 대한 2Å/min. 이하의 식각율을 확보할 수 있음을 알 수 있다.
도 3은 본 발명의 SiNx 막의 사이클수(m)와 BN막의 사이클수(n)의 비 즉, m:n 이 30:40인 SiNx/BN 의 나노라미네이트 박막(ALD SiNx/BN)과 LPCVD법에 의해증착된 SiNx 막(LP_SiNx)의 RIE 식각율을 나타낸 것이다. 도 3을 참조하면, C4F8/Ar/O2 의 식각조건과 C5F8/CH2F2/Ar/CO/O2 의 식각조건에서 LPCVD SiNx 막보다 본 발명의 ALD SiNx/BN 의 나노라미네이트 박막의 RIE 식각율이 각각 20%, 16%의 선택비가 개선됨을 보여준다.
도 4는 본 발명의 SiNx/BN 나노라미네이트 박막과 단일의 ALD BN막의 바이어스 전압에 대한 캐패시턴스 커브를 도시한 것이다. 도 4에서, "A 는 단일의 ALD BN막의 C-V 커브를 도시한 것이고, "B 는 본 발명의 ALD SiNx/BN막의 C-V 커브를 도시한 것이다. 도 4에 도시된 C-V 커브는 본 발명의 SiNx/BN 나노라미네이트 박막과 ALD BN막을 유전막으로 하는 각각의 MOS 캐패시터에서, 열처리전과, 850℃의 온도에서 60분동안 열처리한 후에 측정한 것으로서, C-V 커브 A 및 B 중 점선은 열처리전의 C-V 커브이고, 실선은 열처리후의 C-V 커브를 의미한다.
도 4를 참조하면, 단일의 ALD BN막의 경우(A)에는, 열처리후 C-V 커브가 시프트되어, 열처리공정에 의해 ALD BN막내에 존재하는 과잉 보론(excess boron)이 아웃 디퓨전되었음을 알 수 있다.
이와는 달리, 본 발명의 SiNx/BN 의 나노라미네이트 박막의 경우(B)에는, 보론의 아웃 디퓨전이 억제되어 열처리후에도 C-V 커브가 시프트되지 않았음을 알 수 있다.
도 5 및 도 6는 본 발명의 실시예에 따른 리모트 플라즈마 원자층 증착법(RP-ALD)을 이용하여 SiNx 막과 BN 막을 증착하기 위한 개스의 공급시퀀스를 각각 도시한 것이다.
먼저, 도 5를 참조하여 SiNx 막을 RP-ALD 방법으로 증착하는 방법을 설명하면 다음과 같다.
내부의 압력을 대기압 이하로 유지할 수 있도록 펌프를 구비한 챔버(도면상에는 도시되지 않음)내에 SiNx 막이 증착될 웨이퍼, 예를 들면 단결정 실리콘 기판을 로딩하고 200 내지 750℃의 온도로 가열하여, SiNx 막을 증착하기 위한 사이클을 일정횟수만큼 진행한다.
상기 SiNx 막을 증착하기 위한 사이클은 4단계로 이루어진다. 제1단계는 실리콘을 함유한 제1개스, 예를 들면 SiH2Cl2, SiCl4, Si2Cl6, 또는 SiH4 등의 소오스 개스를 일정 분압, 일정시간이상 챔버로 주입하여, 웨이퍼 표면상에 제1개스가 충분히 흡착되어 포화되도록 한다. 제2단계는 퍼지용 제2개스, 예를 들면 Ar, He 등과 같은 비활성 개스 또는 N2 개스를 챔버로 주입하여 챔버내에 반응하지 않고 남아있는 제1개스를 배기시킨다. 이로써, 챔버내의 제1개스의 분압이 충분히 낮아진다.
다음, 제3단계는 질소를 함유한 제3개스, 예를 들면 NH3 또는 N2H2 등의 반응개스를 일정 분압, 일정시간이상 챔버로 주입하여 웨이퍼의 표면상에 흡착되어 있는 제1개스와 충분히 반응시켜 실리콘원자(Si)와 질소원자(N)로 이루어진 SiNx 의 단원자층(monolayer)을 형성한다. 이때, 제3단계에서, 상기 제3개스 대신에, N2, H2, NH3 및 이들의 혼합개스를 래디칼(radical) 형태로 사용할 수도 있다. 제4단계는 퍼지용 제4개스, 예를 들어 Ar, He 등과 같은 비활성 개스 또는 N2 개스를 챔버로 주입하여 챔버안에 반응하지 않고 남아있는 제3개스 뿐만 아니라 HCl 등과같은 반응 부산물을 배기(pumping out)시킨다. 이로써, 챔버내의 제3개스의 분압이 충분히 낮아진다.
본 발명의 실시예에서는 제2단계와 제4단계에서만 비활성개스 또는 N2개스를 주입하여 배기시켰으나, 1사이클 내내 비활성개스 또는 N2 개스를 주입하여 제2단계와 제4단계에서 반응하지 않고 남아있는 개스 또는 개스반응물을 배기시킬 수도 있다.
상기한 바와같이 SiNx 단원자층(monolayer)의 증착공정은 4단계로 이루어지고, 이러한 단원자층을 증착하기 위한 4단계공정을 1사이클로 하여 소정두께를 갖는 SiNx막(210)을 형성한다. 즉, 1사이클당 ALD 방식에 의해 증착되는 SiNx 막의 증착두께는 일정하므로, 원하는 두께의 SiNx 막을 얻기 위해서는 일정횟수의 사이클을 반복 진행한다.
다음, 도 6를 참조하여, 상기 보론 나이트라이드막을 증착하는 방법을 설명하면 다음과 같다.
상기 BN 막을 증착하기 위한 사이클도 4단계로 이루어진다. 제1단계에서는, 보론을 함유한 제1개스, 예를 들면 BCl3, BBr3, B2H6, BF3 과 같은 소오스 개스를 일정 분압, 일정시간이상 챔버로 주입하여 웨이퍼의 표면상에 형성된 SiNx 막(210)상에 제1개스가 충분히 흡착되어 포화되도록 한다. 이어서, 제2단계에서는, 퍼지용 제2개스, 예를 들면 Ar, He 등과 같은 비활성 개스 또는 N2 개스를 챔버로 주입하여 챔버내에 반응하지 않고 남아있는 제1개스를 배기시킨다. 이로써, 제1개스의 분압이 낮아지게 된다.
제3단계에서는, 질소를 함유한 제3개스, 예를 들면 NH3 또는 N2H2 등과 같은 반응개스를 일정 분압, 일정시간이상 챔버로 주입하여 웨이퍼의 표면상에 흡착되어 있는 제1개스와 충분히 반응시켜, 보론원자(B)와 질소원자(N)로 이루어진 BN 의 단원자층을 형성한다. 이때, 제3단계에서, 상기 제3개스로 NH3 또는 N2H2 대신에, N2, H2, NH3 및 이들의 혼합개스를 래디칼(radical) 형태로 사용할 수도 있다. 마지막으로, 제4단계에서는, 퍼지용 제4개스, 예를 들면 Ar, He 등과 같은 비활성 개스 또는 N2 개스를 챔버로 주입하여 챔버내의 반응하지 않고 남아있는 제3개스와 반응부산물인 HCl 등과 같은 개스를 배기시킨다. 이로써, 제3개스의 분압이 낮아지게 된다.
본 발명의 실시예에서는 제2단계와 제4단계에서만 비활성개스 또는 N2개스를 주입하여 배기시켰으나, 1사이클 내내 비활성개스 또는 N2 개스를 주입하여 제2단계와 제4단계에서 반응하지 않고 남아있는 개스 또는 개스반응물을 배기시킬 수도 있다.
상기한 바와같이 BN 단원자층의 증착공정은 4단계로 이루어지고, 이러한 단원자층을 증착하기 위한 4단계 공정을 1사이클로 하여 소정두께를 갖는 BN막(220)을 SiNx막(210)상에 형성한다. 즉, 1사이클당 ALD 방식에 의해 증착되는 BN 막의 증착두께는 일정하므로, 원하는 두께의 BN 막을 얻기 위해서는 일정횟수의 사이클을 반복 진행한다.
따라서, 도 5 및 도 6에 각각 도시된 SiNx 막(210)의 증착공정과 BN막(220)의 증착공정을 반복 진행하여 웨이퍼(100)상에 SiNx/BN 의 다층 나노라미네이트 박막(200)을 형성한다.
상기 SiNx/BN 의 다층 나노라미네이트 박막(200)에 있어서, SiNx 막의 사이클수(m)와 BN막의 사이클수(n)는 각각 30, 40인 것이 바람직하다. 즉, SiNx 막(210)을 형성하기 위해서 바람직하게 도 5의 사이클을 30회 반복 수행하고, BN 막(220)을 형성하기 위해서 바람직하게 도 6의 사이클을 40회 반복 수행한다. 그리고, SiNx 막(210)의 두께는 SiNx 의 단원자층 두께이상, 200Å 이하인 것이 바람직하고, BN막(220)의 두께는 BN 의 단원자층 두께이상, 200Å 이하인 것이 바람직하다.
본 발명의 실시예에서, SiNx/BN 의 다층 라미네이트 박막(200)을 형성하기 위한 SiNx 막(210)의 증착공정과 BN 막(220)의 증착공정은 인시튜로 수행되며, 챔버의 크기(volume)는 약 7리터 정도이고, 웨이퍼의 온도는 대략 400 내지 600℃이며, 압력은 1 내지 3torr 이다.
또한, 본 발명의 SiNx/BN 의 다층 나노라미네이트 박막(200)에 있어서, 최하층막으로 SiNx 막을 형성하거나 또는 최하층 및 최상층으로 SiNx 막을 형성하는 것이 바람직하다. 이는 BN 막 하부에 배리어층의 역할을 하는 SiNx 막을 형성하여 줌으로써, BN 막으로부터의 보론의 아웃-디퓨젼을 억제시킬 수 있기 때문이다.
상기한 바와같은 본 발명에 따르면, 반도체 소자의 유전막으로 사용되는 절연막을 SiNx막과 BN 막이 교대로 적층된 다층 나노라미네이트 박막구조로 형성하여 줌으로써 습식식각 특성을 향상시킬 수 있을 뿐만 아니라 저유전상수를 얻을 수 있어 전달지연을 개선시킬 수 있는 이점이 있다. 또한, BN 막으로부터의 보론의 아웃디퓨전을 방지하여 박막의 안정성을 확보할 수 있는 이점이 있다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (18)

  1. 웨이퍼상에 실리콘 나이트라이드막과 보론 나이트라이드막이 교대로 적층된 다층 나노라미네이트 구조를 갖는 것을 특징으로 하는 반도체 장치의 절연막.
  2. 제1항에 있어서, 상기 실리콘 나이트라이드막의 두께는 실리콘 나이트라이드막의 단원자층 두께이상, 200Å 이하인 것을 특징으로 하는 반도체 장치의 절연막.
  3. 제1항에 있어서, 상기 보론 나이트라이드막의 두께는 상기 보론 나이트라이드막의 단원자층 두께이상, 200Å 이하인 것을 특징으로 하는 반도체 장치의 절연막.
  4. 제1항에 있어서, 상기 다층 나노라미네이트구조에서, 최하층에 실리콘 나이트라이드막이 형성되는 것을 특징으로 하는 반도체 장치의 절연막.
  5. 제1항에 있어서, 상기 다층 나노라미네이트구조에서, 최하층 및 최상층에 실리콘 나이트라이드막이 형성되는 것을 특징으로 하는 반도체 장치의 절연막.
  6. 웨이퍼상에 실리콘 나이트라이드막을 형성하는 단계와;
    상기 실리콘 나이트라이드막상에 보론 나이트라이드막을 형성하는 단계와;
    상기 실리콘 나이트라이드막 형성단계와 보론 나이트라이드막 형성단계를 교대로 반복하여 다층 나노라미네이트 박막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  7. 제6항에 있어서, 상기 실리콘 나이트라이드막과 보론 나이트라이드막은 원자층 증착법을 이용하여 증착사이클을 소정횟수 반복 진행하여 형성하는 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  8. 제7항에 있어서, 상기 실리콘 나이트라이드막의 증착사이클수와 상기 보론 나이트라이드막의 증착사이클수는 바람직하게 각각 30, 40인 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  9. 제7항에 있어서, 상기 웨이퍼의 온도는 400 내지 600℃이고, 증착압력은 1 내지 3torr 인 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  10. 제7항에 있어서, 상기 실리콘 나이트라이드막과 보론 나이트라이드막은 인시튜로 증착되는 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  11. 제7항에 있어서, 상기 실리콘 나이트라이드막을 형성하기 위한 증착사이클은
    실리콘을 함유한 제1개스를 챔버로 주입하여 상기 웨이퍼의 표면상에 흡착시키는 제1단계와;
    퍼지용 제2개스를 상기 챔버로 주입하여 반응하지 않고 남아있는 제1개스를 배기시키는 제2단계와;
    질소를 함유한 제3개스를 상기 챔버로 주입하여 상기 제1개스와 반응시키는 제3단계와;
    퍼지용 제4개스를 상기 챔버로 주입하여 반응하지 않고 남아있는 제3개스와 개스 반응물을 배기시키는 제4단계를 포함하는 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  12. 제11항에 있어서, 상기 제1개스는 SiH2Cl2, SiCl4, Si2Cl6, 또는 SiH4 개스중 하나인 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  13. 제11항에 있어서, 상기 제2 및 제4개스는 비활성개스 또는 N2개스인 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  14. 제11항에 있어서, 제3개스는 NH3 또는 N2H4 개스중 하나를 사용하거나 또는 N2, H2, NH3 및 이들의 혼합개스를 래디칼 형태로 사용하는 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  15. 제7항에 있어서, 상기 보론 나이트라이드막을 형성하기 위한 증착사이클은
    보론을 함유한 제1개스를 챔버로 주입하여 상기 실리콘 나이트라이드막의 표면상에 흡착시키는 제1단계와;
    퍼지용 제2개스를 상기 챔버로 주입하여 상기 제1개스를 배기시키는 제2단계와;
    질소를 함유한 제3개스를 상기 챔버로 주입하여 상기 제1개스와 반응시키는 제3단계와;
    퍼지용 제4개스를 상기 챔버로 주입하여 반응하지 않고 남아있는 제3개스와 개스반응물을 배기시키는 제4단계를 포함하는 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  16. 제15항에 있어서, 상기 제1개스는 BCl3, BBr3, B2H6, 또는 BF3 개스중 하나인 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  17. 제15항에 있어서, 상기 제2 및 제4개스는 비활성개스 또는 N2 개스인 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
  18. 제15항에 있어서, 제3개스는 NH3 또는 N2H4 개스중 하나를 사용하거나 또는 N2, H2, NH3 및 이들의 혼합개스를 래디칼 형태로 사용하는 것을 특징으로 하는 반도체 장치의 절연막 형성방법.
KR10-2002-0022516A 2002-04-24 2002-04-24 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법 KR100448714B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2002-0022516A KR100448714B1 (ko) 2002-04-24 2002-04-24 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
JP2003118789A JP4050655B2 (ja) 2002-04-24 2003-04-23 多層ナノラミネート構造を有する半導体装置の絶縁膜及びその形成方法
US10/422,283 US6740977B2 (en) 2002-04-24 2003-04-24 Insulating layers in semiconductor devices having a multi-layer nanolaminate structure of SiNx thin film and BN thin film and methods for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0022516A KR100448714B1 (ko) 2002-04-24 2002-04-24 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법

Publications (2)

Publication Number Publication Date
KR20030084041A true KR20030084041A (ko) 2003-11-01
KR100448714B1 KR100448714B1 (ko) 2004-09-13

Family

ID=29244771

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0022516A KR100448714B1 (ko) 2002-04-24 2002-04-24 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법

Country Status (3)

Country Link
US (1) US6740977B2 (ko)
JP (1) JP4050655B2 (ko)
KR (1) KR100448714B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101013062B1 (ko) * 2010-08-05 2011-02-14 대동전자(주) 광화이버를 이용하여 배전반의 온도를 스캔방식으로 제어하는 온도제어기
KR101035374B1 (ko) * 2011-02-15 2011-05-20 (주)성원이엔씨 고정배선의 상태확인이 가능한 배전반

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
DE10344814B3 (de) * 2003-09-26 2005-07-14 Infineon Technologies Ag Speichervorrichtung zur Speicherung elektrischer Ladung und Verfahren zu deren Herstellung
KR100590260B1 (ko) * 2004-04-29 2006-06-19 삼성에스디아이 주식회사 유기 전계 발광 표시 장치
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
CN101032006A (zh) * 2005-02-17 2007-09-05 株式会社日立国际电气 半导体器件的制造方法以及衬底处理装置
US20070292974A1 (en) * 2005-02-17 2007-12-20 Hitachi Kokusai Electric Inc Substrate Processing Method and Substrate Processing Apparatus
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7510742B2 (en) 2005-11-18 2009-03-31 United Technologies Corporation Multilayered boron nitride/silicon nitride fiber coatings
KR20080008719A (ko) * 2006-07-21 2008-01-24 동부일렉트로닉스 주식회사 시모스 이미지 센서 및 그 제조 방법
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
JP2008294260A (ja) * 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP5336956B2 (ja) 2008-07-31 2013-11-06 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8158200B2 (en) 2009-08-18 2012-04-17 University Of North Texas Methods of forming graphene/(multilayer) boron nitride for electronic device applications
JP5466526B2 (ja) * 2010-02-15 2014-04-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101776926B1 (ko) 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
JP6151335B2 (ja) * 2011-01-14 2017-06-21 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8492880B2 (en) * 2011-04-01 2013-07-23 International Business Machines Corporation Multilayered low k cap with conformal gap fill and UV stable compressive stress properties
JP5699980B2 (ja) * 2011-06-16 2015-04-15 東京エレクトロン株式会社 成膜方法及び成膜装置
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
JP5723243B2 (ja) * 2011-08-11 2015-05-27 東京エレクトロン株式会社 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US20130193445A1 (en) * 2012-01-26 2013-08-01 International Business Machines Corporation Soi structures including a buried boron nitride dielectric
JP5758829B2 (ja) * 2012-03-27 2015-08-05 東京エレクトロン株式会社 ボロン含有シリコン酸炭窒化膜の形成方法およびシリコン酸炭窒化膜の形成方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
CN104250725B (zh) * 2013-06-26 2016-11-23 北京北方微电子基地设备工艺研究中心有限责任公司 预沉积工艺、扩散工艺及扩散设备
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
JP5687328B2 (ja) * 2013-12-11 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9231063B2 (en) 2014-02-24 2016-01-05 International Business Machines Corporation Boron rich nitride cap for total ionizing dose mitigation in SOI devices
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6775804B2 (ja) 2016-05-12 2020-10-28 グローバルウェーハズ カンパニー リミテッドGlobalWafers Co.,Ltd. シリコン系誘電体上の六方晶窒化ホウ素の直接形成
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881865B1 (en) 2016-07-27 2018-01-30 Samsung Electronics Co., Ltd. Semiconductor devices including electrically isolated patterns and method of fabricating the same
US10297441B2 (en) 2016-08-08 2019-05-21 Applied Materials, Inc. Low-temperature atomic layer deposition of boron nitride and BN structures
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102299610B1 (ko) 2019-01-30 2021-09-08 연세대학교 산학협력단 향상된 내마모성 및 유연성을 갖는 투명 나노막 적층 구조체
CN115011945A (zh) * 2021-03-05 2022-09-06 中国科学院微电子研究所 SiN膜的制备方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61174621A (ja) * 1985-01-28 1986-08-06 Nippon Telegr & Teleph Corp <Ntt> 半導体薄膜結晶の製造方法
DE3650465T2 (de) * 1985-02-09 1996-09-12 Asahi Chemical Ind Durchlässige Polymer-Membran für die Gastrocknung
KR900001247B1 (ko) * 1985-09-11 1990-03-05 미쓰비시 뎅기 가부시끼가이샤 반도체 장치
JPH083645B2 (ja) * 1985-12-20 1996-01-17 株式会社小松製作所 電子写真感光体
JPS63187254A (ja) * 1987-01-30 1988-08-02 Toshiba Corp 電子写真感光体
JP3239505B2 (ja) * 1993-01-13 2001-12-17 石川島播磨重工業株式会社 薄膜センサーの取付け方法
KR970052906A (ko) * 1995-12-20 1997-07-29 김주용 반도체 소자의 비.피.에스.지(bpsg)막 형성방법
JP3226816B2 (ja) * 1996-12-25 2001-11-05 キヤノン販売株式会社 層間絶縁膜の形成方法、半導体装置及びその製造方法
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6391769B1 (en) * 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
KR100475048B1 (ko) * 1998-09-24 2005-05-27 삼성전자주식회사 이중층의 질화물라이너를 갖는 트렌치 소자분리방법
JP2000276705A (ja) * 1999-03-24 2000-10-06 Alps Electric Co Ltd 薄膜磁気ヘッド及び薄膜磁気ヘッドの製造方法
WO2002080244A2 (en) * 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101013062B1 (ko) * 2010-08-05 2011-02-14 대동전자(주) 광화이버를 이용하여 배전반의 온도를 스캔방식으로 제어하는 온도제어기
KR101035374B1 (ko) * 2011-02-15 2011-05-20 (주)성원이엔씨 고정배선의 상태확인이 가능한 배전반

Also Published As

Publication number Publication date
JP4050655B2 (ja) 2008-02-20
US6740977B2 (en) 2004-05-25
KR100448714B1 (ko) 2004-09-13
US20030201540A1 (en) 2003-10-30
JP2004047956A (ja) 2004-02-12

Similar Documents

Publication Publication Date Title
KR100448714B1 (ko) 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6962876B2 (en) Method for forming a low-k dielectric layer for a semiconductor device
US6815350B2 (en) Method for forming a thin film using an atomic layer deposition (ALD) process
US6197705B1 (en) Method of silicon oxide and silicon glass films deposition
US10468595B2 (en) Semiconductor device structures including silicon-containing dielectric materials
JP3602072B2 (ja) ヘキサクロロジシランおよびアンモニアを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
US8846525B2 (en) Hardmask materials
US8828890B2 (en) Method for depositing cyclic thin film
US6867152B1 (en) Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US6674169B2 (en) Semiconductor device with titanium silicon oxide layer
CN112309850A (zh) 使用氟去除形成结构的方法
US20110133313A1 (en) Hardmask materials
KR20060081660A (ko) 게이트 스택 측벽 스페이서 제조 방법
KR20070040590A (ko) 반도체 메모리 소자 및 그 제조방법
WO2004017383A2 (en) Low termperature deposition of silicon oxides and oxynitrides
KR20110025086A (ko) 플라즈마 화학증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
JP2005534179A (ja) アミノシランとオゾンを用いる低温誘電体蒸着法
US20240304437A1 (en) Pecvd of sibn thin films with low leakage current
US5217567A (en) Selective etching process for boron nitride films
KR100703833B1 (ko) 이중 유전막을 구비한 캐패시터의 제조 방법
JP2023531513A (ja) ケイ素前駆体化合物及びケイ素含有膜を形成するための方法
JP2022544232A (ja) 低誘電率誘電体膜
KR102451069B1 (ko) 탄화수소-기반 극박막을 형성하여 층을 보호하기 위한 방법
US6429149B1 (en) Low temperature LPCVD PSG/BPSG process
KR20090120228A (ko) 저온 화학기상증착에 의한 산화막 증착 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120831

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20130902

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140901

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee