KR20010109219A - 비정질 도전성 확산 배리어의 형성 방법 - Google Patents

비정질 도전성 확산 배리어의 형성 방법 Download PDF

Info

Publication number
KR20010109219A
KR20010109219A KR1020010030811A KR20010030811A KR20010109219A KR 20010109219 A KR20010109219 A KR 20010109219A KR 1020010030811 A KR1020010030811 A KR 1020010030811A KR 20010030811 A KR20010030811 A KR 20010030811A KR 20010109219 A KR20010109219 A KR 20010109219A
Authority
KR
South Korea
Prior art keywords
diffusion barrier
cvd
vapor deposition
chemical vapor
nitrogen
Prior art date
Application number
KR1020010030811A
Other languages
English (en)
Other versions
KR100429522B1 (ko
Inventor
슈솅텡
트위트더글라스제임스
판웨이
에반스대비드러셀
Original Assignee
마찌다 가쯔히꼬
샤프 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마찌다 가쯔히꼬, 샤프 가부시키가이샤 filed Critical 마찌다 가쯔히꼬
Publication of KR20010109219A publication Critical patent/KR20010109219A/ko
Application granted granted Critical
Publication of KR100429522B1 publication Critical patent/KR100429522B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1078Multiple stacked thin films not being formed in openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Laminated Bodies (AREA)

Abstract

본 발명은 도전성 확산 배리어의 형성 방법을 제공한다. 본 발명에 따른 방법에서는 확산 배리어를 통해 원소의 비를 변경한 재료를 퇴적함으로써 사실상 비정질인 도전성 확산 배리어를 제조한다. 금속 질화물, 금속 실리콘 질화물의 확산 배리어는 CVD, PECVD 또는 ALCVD법을 이용하고 원소의 제1 비를 갖는 재료를 퇴적한 다음, 원소의 서로 다른 비를 갖는 사실상 동일한 재료를 퇴적함으로써 퇴적된다. 사용된 실제의 원소는 동일하지만, 그 비는 변경된다. 원소의 비를 동일한 확산 배리어 내로 변경함으로써 밀도가 변경되고, 재료는 바람직하지 못한 다결정 구조를 형성할 수 없게 된다.

Description

비정질 도전성 확산 배리어의 형성 방법{Method of Forming Amorphous Conducting Diffusion Barriers}
본 발명은 반도체 기술, 특히 반도체 장치용 도전성 확산 배리어의 형성 방법에 관한 것이다.
확산 배리어는 통상 금속의 상호 확산을 방지하기 위해 집적 회로(IC)의 제조에 사용된다. 예를 들면, TiN막은 접속 영역에서 금속 배선을 따라 Al이 Si로 확산되는 것을 방지하기 위해 사용된다. IC, 특히 접속 영역 및 금속 배선의 치수가 계속 수축됨에 따라, 도전성 배리어에 대한 요구가 더욱 엄격해진다. 저항을 사실상 증가시키지 않고 더 얇은 배리어가 요구된다. 배리어는 또한 제조 공정에 도입되는 각종 새로운 금속의 확산에 대해 더욱 내성이 있을 필요가 있다. 도입할 금속중 하나는 구리이다. 거의 모든 확산 배리어 물질이 구리의 확산을 효과적으로 차단할 수 없을 지라도, 금속 질화물 및 실리콘 질화물이 구리 확산에 대해 좋은 배리어로서 작용하는 것으로 알려져 있다.
효과적인 도전성 확산 배리어를 제조하기 위해서, 배리어는 적절한 도전체로서 동시에 작용하면서 인접 구조로의 금속 확산을 방지하는 작용을 하는 것이 바람직하다. 도전성 확산 배리어는 그 확산 배리어 특성과 그 도전 특성 간에 적절한 균형을 제공해야 한다.
도전성 확산 배리어는 적절한 도전체로서 작용하면서 구리 또는 기타 금속에 대해 좋은 배리어로서 작용할 수 있다면 유리할 것이다.
소망의 특성을 갖는 확산 배리어를 형성하는 방법이 제공될 수 있다면 유리할 것이다.
도 1은 도전성 확산 배리어를 나타내는 개략 단면도.
도 2는 종래의 도전성 확산 배리어를 나타내는 개략 단면도.
도 3은 종래의 도전성 확산 배리어를 나타내는 개략 단면도.
도 4는 도전성 확산 배리어의 형성시 중간 단계를 나타내는 개략 단면도.
도 5는 도전성 확산 배리어의 형성시 중간 단계를 나타내는 개략 단면도.
도 6은 다중 밀도 영역이 도전성 확산 배리어 내에 형성될 수 있는 것을 나타내는 개략 단면도.
도 7은 본 발명에 따른 방법의 단계를 나타낸 흐름도.
*도면 부호의 설명
10: 반도체 장치 구조 12: 도전성 확산 배리어층
14: 반도체 기판 16: 금속층
18: 경계 20: 인접 결정 구조
22: 제1 서브층 24: 제2 서브층
30,32: 확산 배리어 재료
따라서, 사실상 비정질인 도전성 확산 배리어를 제조하는 방법이 제공되므로, 무경계 영역이 층을 통해 연장되어 배리어를 통한 금속의 확산을 허용한다. 본 발명의 방법은 반도체 기판이나 웨이퍼를 제조한 후, 원소의 제1 비를 갖는 내화성 금속 및 질소를 포함하는 재료를 퇴적한 다음, 원소의 제2 비를 갖는 동일한 재료를 퇴적함으로써 반도체 기판 위에 배리어층을 형성하는 단계를 포함한다. 이들 원소의 비가 달라질 지라도 그것이 동일한 기본적인 소망의 원소를 갖는다면 동일한 재료라고 생각된다. 또 다른 양호한 실시예에서, 그 재료는 내화성 금속, 실리콘 및 질소를 포함한다. 바람직하기로는, 내화성 금속은 티탄(Ti), 탄탈(Ta) 또는 텅스텐(W)으로 이루어진 군으로부터 선택된다.
반도체 기판이 제조된 후 퇴적실 내에 놓인다. 퇴적실은 화학 증기 증착(CVD)실, 플라즈마 촉진 화학 증기 증착(PECVD)실, 또는 원자층 화학증기 증착(ALCVD)실이다. 금속 질화물 전구체는 질소 전구체와 함께 그 실로 도입된다. 질소 전구체는 확산 배리어 물질 내에서 질소에 대한 금속의 전체 비율을 변경하도록 금속 질화물 전구체에 대해 상대적으로 변경될 수 있다. 재료의 층들이 퇴적됨에 따라, 질소 전구체의 양은 서로 다른 비의 원소를 퇴적하도록 변경된다. 확산 배리어를 통한 원소의 비를 변경시키면 배리어를 통해 밀도가 변경된 비정질 확산 배리어를 형성한다. 비정질 구조는 구리나 기타 금속의 확산 통로를 제공할 수 있는 경계 영역을 방지한다.
퇴적 공정 후에 확산 배리어 재료가 어닐링되고, 금속층이 퇴적되어 반도체 기판 상에 도전성 통로를 형성한다.
[발명의 실시의 형태]
예증 목적으로만 제공되는 도면을 참고로 할 때, 도 1은 본 발명의 방법에 의해 제조된 반도체 장치 구조(10)를 나타낸다. 반도체 장치 구조(10)는 반도체 기판(14)과 금속층(16) 사이에 위치하는 도전성 확산 배리어 층(12)을 포함한다. 도전성 확산 배리어층(12)은 금속층(16)으로부터 반도체 기판(14)으로의 금속 확산을 경감 또는 제거하면서 금속층(16)으로부터 반도체 기판(14)으로 전류가 통하도록 한다.
도전성 확산 배리어층(12)은 전류를 운반하기 때문에, 도전성인 것이 바람직하다. 그러나, 도전성 확산 배리어층(12)이 금속층(16) 만큼 도전성이 없기 때문에, 확산 배리어층(12)은 가능한 한 얇아야 하고, 계속해서 금속이 반도체 기판(14)으로 확산되는 것을 방지해야 한다. 구리는 대부분의 재료를 통해 알루미늄보다 더 쉽게 확산하기 때문에, 구리에 대해 적절한 확산층을 형성하려는 시도가 더 크게 이루어진다. 종래의 확산 배리어 재료는 티탄질화물(TiN) 및 탄탈 질화물(TaN)과 같은 다결정성 재료를 포함한다. 그러나, 구리는 배리어 그레인 경계를 따라 확산할 수 있다. 도2(종래 기술)에서 나타낸 바와 같이, 다결정 재료는 2개의 인접 결정 구조(20)가 만나는 경계(18)를 형성한다. 구리는 경계(18)를 따라 통과하고 하부 구조로 확산할 수 있다.
도3(종래 기술)은 이러한 문제에 대한 한 해결책을 나타낸다. 확산 배리어층(12)은 서로 다른 재료의 다층으로 이루어져 있다. 예를 들면, 제1 서브층(22)은 티탄 질화물(TiN)이고, 제2 서브층(24)은 티탄 옥시질화물(TiON)이다. 티탄 질화물은 TiON보다 더 좋은 전기적 도전체이나, TiON은 더 좋은 확산 배리어로 추측된다. TiN의 층들 사이에 TiON의 얇은 서브층을 제공함으로써 이들 문제를 절충한다. 다중 결정 TiN은 구리가 TiON층으로 확산하도록 하는 그레인 경계(18)를 갖는다. 이러한 방법에 따르면, 기판(14)으로 연장되는 연속 그레인 경계가 없기 때문에, TiON층은 개선된 배리어층을 제공한다. 그레인 경계가 TiON층 내에 존재할 지라도 다층을 통한 연속 그레인 경계가 일반적으로 존재하지 않는다. 이러한 방법은 도전성 확산 배리어의 전기적 특성과 확산 배리어 특성 간에 절충점을 제공한다.
도 1을 참고로 하면, 본 발명의 방법에 따라 제조된 장치에서, 확산 배리어층(12)은 모두 단일 재료, 예를 들면, 내화성 금속 질화물이나 내화성 금속 실리콘 질화물로 이루어진다. 상기 그레인 경계와 관련된 문제를 해결하기 위해서, 확산 배리어층(12)은 비정질이다. 확산 배리어층(12)이 다중 결정성이 아니기 때문에, 층을 통해 연장된 그레인 경계가 존재하지 않는다.
또한, TiON과 같이 더 낮은 저항 옥시질화물층이 존재하지 않기 때문에, 총 저항은 TiN/TiON/TiN과 같은 다층 확산 배리어보다 더 낮다.
도4 내지 도6은 본 발명의 방법에 의한 확산 배리어의 제조를 나타낸다. 반도체 기판(14)이 제공된다. 편의상, 기판(14)은 단순한 편평한 구조로 나타냈다.본 발명의 방법은 마찬가지로 더 복잡한 구조에 적용될 수 있다. 장치에 전기적 접속을 제공하기 위한 단순한 접속공은 본 방법을 이용함으로써 형성될 수 있다. 또한, 다층 인터커넥트와 바이어스는 본 방법에 의해 제조될 수 있다. 본 분야의 숙련자라면 본 방법의 기술을 여러 장치 구조에 적절히 적용할 수 있을 것이다.
도 4에서 나타낸 바와 같이, 반도체 기판(14)이 제조된 후, 확산 배리어 재료(30)가 퇴적된다. 확산 배리어 재료(30)는 내화성 금속 질화물 또는 내화성 금속 실리콘 질화물이 바람직하다. 확산 배리어 재료(30)의 두께는 5∼20 Å이 바람직하다.
확산 배리어 재료(30)는 화학 증기 증착법(CVD)에 의해 바람직하게 퇴적된다. 플라즈마 촉진 화학 증기 증착법(PECVD)도 또한 확산 배리어(30)를 퇴적하는 데 사용될 수 있다. 확산 배리어 재료(30)의 극히 얇은 양을 퇴적할 때, 원자층 화학 증기 증착법(ALCVD)이 바람직하다.
확산 배리어 재료(30)는 내화성 금속 질화물(MN)이 바람직한데, 여기서 M은 티탄(Ti), 탄탈(Ta) 또는 텅스텐(W)과 같은 내화성 금속을 나타낸다. 확산 배리어 재료(30)는 질소에 대한 내화성 금속의 비(MaNb)를 갖는 재료를 제조하기 위해 적절한 전구체를 선택함으로써 퇴적될 수 있다.
도 5에서 나타낸 바와 같이, 확산 배리어 재료(30)를 퇴적한 후, 바람직하기로는 확산 배리어 재료(30)를 퇴적하는 데 사용된 것과 동일한 퇴적 방법에 의해 확산 배리어 재료(32)를 추가로 퇴적한다. 예를 들면, CVD가 확산 배리어재료(30)를 퇴적하는 데 이용되는 경우, CVD는 추가의 확산 배리어 재료(32)를 퇴적하는 데 이용된다. 추가의 확산 배리어 재료(32)는 확산 배리어 재료(30)와 동일하지만, 질소에 대한 내화성 금속의 비(MxNy)는 다르다. 내화성 금속(M)이 모두에 대해 동일하다면, 확산 배리어 재료(30)와 추가적인 확산 배리어 재료(32)는 동일한 재료로 간주된다. 예를 들면, 내화성 금속(M)이 티탄(Ti)이라면, 확산 배리어 재료(30)는 TiaNb이고 추가적인 확산 배리어 재료(32)는 TixNy이며, 여기서 a:b는 x:y의 비와 동일하지 않다.
서로 다른 원소의 비로 동일한 재료의 소량을 연속적으로 퇴적함으로써 각각의 얇은 영역은 도전성 확산 배리어층(12)을 통해 사실상 비정질로 남아 있게 된다. 원소의 비를 달리함으로써 모든 도전성 확산 배리어층(12)을 통한 확산 통로를 제공하는 경계층을 갖는 다결정 구조의 형성을 방지한다. 이를테면, 질소의 양을 도전성 확산 배리어층(12)을 통해 변경함으로써 밀도가 또한 달라진다. 이러한 밀도 변경은 도전성 확산 배리어층의 사실상 비정질인 성질을 유지함으로써 재료를 통해 구리 또는 기타 금속의 확산을 감소하는 것으로 믿어진다. "사실상 비정질"이란 미소 결정이 도전성 확산 배리어층(12) 내에 형성될 수 있을지라도, 결정 구조가 전체 층을 통해 확산되지 않고, 대부분의 재료가 비정질인 것을 의미한다.
내화성 금속 질화물(MN)이 바람직할지라도, 본 발명의 방법은 또한 내화성 금속 실리콘 질화물(MSiN) 확산 배리어를 형성하는 데 사용된다. 상술한 바와 같이, 내화성 금속으로는 티탄, 탄탈, 또는 텅스텐이 있다. 확산 배리어 재료(30)가TiaSibNc이라면, 추가적 확산 배리어 재료(32)는 TixSiyNz이며, 여기서 원소의 비율은 a:b:c가 x:y:z와 동일하지 않도록 서로 다르다.
도 6에 나타낸 바와 같이, 확산 배리어 재료(30)와 추가적 확산 배리어 재료(32)는 교대로 수회 퇴적될 수 있다. 퇴적 공정은 2∼20의 서로 다른 밀도 영역 사이에 제공하도록 반복될 수 있다. 바람직하게는, 4 또는 5개의 영역, TiaNb/TixNy/TiaNb/TixNy가 형성된다. 도전성 확산 배리어(12)의 총 두께는 20∼200 Å이 바람직하다. 또한, 확산 배리어 재료(30)나 추가적 확산 배리어 재료(32)보다 서로 다른 원소 비를 갖는 1 이상의 추가 영역(40)을 형성하는 것도 본 발명의 범위 내에 속한다.
확산 배리어층은 그를 컨디셔닝하고 적절한 조성을 얻기 위해서 어닐링된다. 종래의 열처리나 급속 열적 어닐링 공정은 도전성 확산 배리어층(12)을 어닐링하는 데 사용된다.
본 발명에 따른 방법의 공정 단계는 도 7에 개략적으로 나타냈다. 제1 단계(510)는 반도체 기판을 제조하는 것이다. 반도체 기판은 하부 장치에 연결된 트렌치 또는 접속공, 또는 금속 다층 및 인터커넥트, 또는 가공후 최종 장치를 거쳐 연결할 필요가 있는 바이어스를 갖는다.
단계(520)는 두께 50Å 미만으로 확산 배리어 재료(30)를 퇴적한다(도 4-6 참조). 확산 배리어 재료(30)는 TiN, TaN, WN, TiSiN, TaSiN, WSiN이 바람직하다. 바람직한 실시예에서, 초기 재료는 약 5Å∼20Å, 바람직하기로는 10Å이다.
본 발명의 양호한 실시예에서, 화학적 증기 증착법(CVD), 바람직하기로는 저온 CVD법이 초기 재료를 퇴적하는 데 이용된다. Ti-N의 층을 형성하기 위한 예로서는 TDMAT라 불리는 테트라키스(디메틸아미노)티탄(Ti(N(CH3)2)4)의 전구체가 사용된다. 기판은 350∼450℃의 온도에서 전구체에 노출된다. TDEAT라 불리는 테트라키스(디에틸아미노)티탄 (Ti(N(C2H5)2)4)) 또는 TEMAT라 불리는 테트라키스(에틸메틸아미노)티탄이 Ti-N을 형성하기 위한 전구체로서 사용된다. Ti 대 N의 비는 약 1:1이다. Ti:N의 서로 다른 소망의 비를 갖는 재료를 제조하기 위해서, 질소에 대한 추가적인 전구체가 첨가된다. 바람직한 질소 전구체는 암모니아(NH3), 디메틸아민 (NH(CH3)2) 또는 디에틸아민 (NH(C2H5)2)이다. 질소 전구체를 도입할 때, 질소 전구체는 바람직하지 못한 기상 반응을 일으키지 않도록 선택되어야 한다. 예를들면, 웨이퍼 상에 퇴적하기 전에 가스 내에 형성되는 Ti:N을 가져오는 기상 반응을 일으킴에 따라 TDMAT와 관련하여 암모니아가 사용되지 않아야 한다. 바람직하기로는, 원하는 반응이 원하는 재료를 퇴적하는 웨이퍼의 상면에서 발생하는 것이다. 질소 전구체의 양은 약 1.5:1∼0.8:1의 Ti:N의 비를 형성하도록 변경될 수 있다.
TiN 전구체는 기화된 전구체 재료를 퇴적실로 운반하기 위해 담체 가스를 이용함으로써 도입된다. 질소 전구체는 챔버 내로 도입된다. 바람직하게는, 아르곤과 같은 불활성 담체 가스의 100∼200 sccm이 TiN 전구체를 운반하는 데 사용된다. 질소 전구체는 도전성 확산 배리어 내에서 질소의 상대량을 변경하기 위해 0∼50 sccm을 도입한다.
TiSiN을 퇴적하기 위해서, 실란은 TiN의 퇴적물 사이에 도입될 수 있다. 최종 재료 내의 실리콘 양은 실란 노출 시간과 양을 조절함으로써 조절될 수 있다.
상기 설명이 TiN 및 TiSiN 재료에 관한 것일지라도, 이 공정은 적절한 전구체를 선택하고 질소를 변경함으로써 TaN, WN, TiSiN, TaSiN, WSiN을 형성하는 데 이용될 수 있다.
단계(530)는 구성 성분의 비율이 서로 다른 확산 배리어 재료와 동일한 재료인 추가적인 확산 배리어 재료를 퇴적하는 것이다. 이 공정은 질소 전구체의 양을 서로 다르게 하고 단계(520)와 관련하여 상기와 동일한 공정이 바람직하다. 확산 배리어 재료(30)의 소망하는 두께(도4-6참조)가 퇴적될 때, 추가적 확산 배리어 재료(32)(도4-6참조)는 추가적 확산 배리어 재료(32)의 1 이상의 영역을 퇴적함으로써 형성될 수 있다.
단계(540)는 소망하는 수의 영역이 퇴적되고 소망하는 총 두께가 얻어질 때까지 단계(520, 530)를 반복하기 위해 제공된다. 단계(520, 530, 540)가 별도의 단계로서 기재될 지라도, TiN 재료를 계속 퇴적하면서 연속적으로 질소 전구체를 변경하는 것은 본 발명의 범위 내에 속한다.
단계(550)는 도전성 확산 배리어 층을 어닐링하는 것이다. 도전성 확산 배리어층을 포함하는 웨이퍼는 도전성 확산 배리어층을 조절하기 위해 급속 열적 어닐링 공정이나 노를 이용하여 열처리된다. 바람직하기로는, 웨이퍼는 약 1∼60분 동안 350∼500℃의 온도에서 어닐링된다.
단계(560)는 금속막의 퇴적 및 방법의 어느 상태에 따른 후속 공정을 나타낸다. 금속 막은 알루미늄, 구리, 은, 금 또는 기타 원하는 금속이다.
CVD 공정 이외에, PECVD 공정이나 ALCVD 공정을 이용하는 방법을 실시할 수도 있다.
본 발명에서는 비교적 간단한 구조를 나타낼 지라도, 본 발명은 또한 트렌치, 다중 도전층 및 바이어스를 포함한 더욱 복잡한 구조의 형성에 적합하다.
또 다른 실시예도 본 발명의 범위 내에 있을 수 있다. 예증적인 실시예로부터 분명히 알 수 있는 바와 같이, 본 발명은 여러 재료 및 퇴적 기술을 이용하여 실시될 수 있다. 본 발명의 기타 변형은 본 발명의 범위 내에 있다. 따라서, 상기 설명은 예증 목적으로만 제공되는 것이며, 본 발명을 한정하는 것은 아니다. 본 발명은 청구범위에 의해서 한정된다.

Claims (16)

  1. 다음 단계를 포함하는 도전성 확산 배리어의 형성 방법:
    a) 반도체 기판을 제조하는 단계;
    b) 질소에 대한 내화성 금속의 제1 비(MaNb)를 갖는 내화성 금속(M) 질화물(N)을 퇴적하기 위해 화학 증기증착법(CVD)을 이용한 다음, 질소에 대한 내화성 금속의 제2 비(MxNy)를 갖는 동일한 내화성 금속 질화물을 퇴적하기 위해 CVD를 이용함으로써 반도체 기판 위에 배리어층을 형성하는 단계; 및
    c) 배리어층 위에 금속층을 퇴적하는 단계.
  2. 제 1항에 있어서, 단계(b)가 수회 반복되는 것을 특징으로 하는 방법.
  3. 제 1항에 있어서, 화학 증기 증착법(CVD)이 플라즈마 촉진 화학 증기 증착법(PECVD)인 것을 특징으로 하는 방법.
  4. 제 1항에 있어서, 화학 증기 증착법(CVD)이 원자층 화학 증기 증착법(ALCVD)인 것을 특징으로 하는 방법.
  5. 제 1항에 있어서, 내화성 금속(M)이 Ti, Ta 및 W으로 이루어진 군으로부터선택되는 것을 특징으로 하는 방법.
  6. 제 1항에 있어서, 금속층이 Al, Cu, Ag 및 Au로 이루어진 군으로부터 선택되는 금속층인 것을 특징으로 하는 방법.
  7. 다음 단계를 포함하는 도전성 확산 배리어의 형성 방법:
    a) 반도체 기판을 제조하는 단계;
    b) 실리콘 및 질소에 대한 내화성 금속의 제1 비(MaSibNc)를 갖는 내화성 금속(M) 실리콘(Si) 질화물(N)을 퇴적하기 위해 화학 증기증착법(CVD)을 이용한 다음, 질소에 대한 내화성 금속의 제2 비(MxSiyNz)를 갖는 동일한 내화성 금속 실리콘 질화물을 퇴적하기 위해 CVD를 이용함으로써 반도체 기판 위에 배리어층을 형성하는 단계; 및
    c) 배리어층 위에 금속층을 퇴적하는 단계.
  8. 제 7항에 있어서, 화학 증기 증착법(CVD)이 플라즈마 촉진 화학 증기 증착법(PECVD)인 것을 특징으로 하는 방법.
  9. 제 7항에 있어서, 화학 증기 증착법(CVD)이 원자층 화학 증기 증착법(ALCVD)인 것을 특징으로 하는 방법.
  10. 제 7항에 있어서, 내화성 금속(M)이 Ti, Ta 및 W으로 이루어진 군으로부터 선택되는 것을 특징으로 하는 방법.
  11. 제 7항에 있어서, 금속층이 Al, Cu, Ag 및 Au로 이루어진 군으로부터 선택되는 금속층인 것을 특징으로 하는 방법.
  12. 다음 단계를 포함하는 도전성 확산 배리어의 형성 방법:
    a) 반도체 기판을 제조하는 단계;
    b) 반도체 기판을 화학 증기 증착(CVD)실 내에 도입하는 단계;
    c) 제1 질소 전구체 유속으로 질소 전구체를 도입하는 실 내에 TiN 전구체 및 질소 전구체를 도입함으로써 원소의 제1 비를 갖는 TiN 재료를 퇴적하는 단계;
    d) 제2 질소 전구체 유속으로 질소 전구체를 도입하는 실 내에 TiN 전구체 및 질소 전구체를 도입하여 원소의 제2 비를 갖는 TiN 재료를 퇴적하는 단계;
    e) 재료를 어닐링하는 단계; 및
    f) 재료 위에 금속층을 퇴적하는 단계.
  13. 제 12항에 있어서, 단계 (c) 및 (d)가 소망의 두께를 갖는 사실상 비정질인 도전성 확산 배리어를 형성하는 데 필요한 만큼 반복되는 것을 특징으로 하는 방법.
  14. 제 12항에 있어서, TiN 전구체가 테트라키스(디메틸아미노)티탄(Ti(N(CH3)2)4) (TDMAT), 테트라키스(디에틸아미노)티탄 (Ti(N(C2H5)2)4))(TDEAT) 또는 테트라키스(에틸메틸아미노)티탄(TEMAT)인 것을 특징으로 하는 방법.
  15. 제 12항에 있어서, 질소 전구체가 암모니아(NH3), 디메틸아민 (NH(CH3)2) 또는 디에틸아민 (NH(C2H5)2)인 것을 특징으로 하는 방법.
  16. 제 15항에 있어서, 질소 전구체가 0∼50 sccm의 속도로 CVD 실로 도입되는 것을 특징으로 하는 방법.
KR10-2001-0030811A 2000-06-01 2001-06-01 비정질 도전성 확산 배리어의 형성 방법 KR100429522B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/585,680 2000-06-01
US09/585,680 US6194310B1 (en) 2000-06-01 2000-06-01 Method of forming amorphous conducting diffusion barriers

Publications (2)

Publication Number Publication Date
KR20010109219A true KR20010109219A (ko) 2001-12-08
KR100429522B1 KR100429522B1 (ko) 2004-05-04

Family

ID=24342497

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0030811A KR100429522B1 (ko) 2000-06-01 2001-06-01 비정질 도전성 확산 배리어의 형성 방법

Country Status (4)

Country Link
US (1) US6194310B1 (ko)
JP (1) JP3865599B2 (ko)
KR (1) KR100429522B1 (ko)
TW (1) TW522476B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100459219B1 (ko) * 2001-12-28 2004-12-03 엘지.필립스 엘시디 주식회사 절연막 형성방법 및 이를 이용한 폴리실리콘박막트랜지스터의 형성방법
KR100589285B1 (ko) * 2004-08-19 2006-06-14 주식회사 아이피에스 다중 적층막 구조의 금속 질화 막 증착 방법
US7960278B2 (en) 2005-10-24 2011-06-14 Tokyo Electron Limited Method of film deposition

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
KR20000022003A (ko) * 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
JP4014738B2 (ja) * 1998-09-15 2007-11-28 株式会社東芝 半導体ウェーハの製造方法
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6534404B1 (en) * 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6797608B1 (en) * 2000-06-05 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming multilayer diffusion barrier for copper interconnections
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
JP4644359B2 (ja) * 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 成膜方法
US6458218B1 (en) * 2001-01-16 2002-10-01 Linamar Corporation Deposition and thermal diffusion of borides and carbides of refractory metals
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
KR20030044140A (ko) * 2001-11-28 2003-06-09 주식회사 하이닉스반도체 탄탈륨 나이트라이드층 형성 방법 및 이를 적용한 반도체소자
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US20030186087A1 (en) * 2002-03-26 2003-10-02 Fu-Tai Liou Gradient barrier layer for copper back-end-of-line technology
US7166896B2 (en) * 2002-08-26 2007-01-23 Micron Technology, Inc. Cross diffusion barrier layer in polysilicon
US6818966B2 (en) * 2002-09-20 2004-11-16 Texas Instruments Incorporated Method and structure for controlling surface properties of dielectric layers in a thin film component for improved trimming
US6934312B2 (en) * 2002-09-30 2005-08-23 Agilent Technologies, Inc. System and method for fabricating efficient semiconductor lasers via use of precursors having a direct bond between a group III atom and a nitrogen atom
US20050070097A1 (en) * 2003-09-29 2005-03-31 International Business Machines Corporation Atomic laminates for diffusion barrier applications
KR100552820B1 (ko) 2004-09-17 2006-02-21 동부아남반도체 주식회사 반도체 소자의 제조 방법
JP2006093551A (ja) * 2004-09-27 2006-04-06 Ulvac Japan Ltd チタン含有膜の形成方法
KR100578976B1 (ko) * 2004-10-15 2006-05-12 삼성에스디아이 주식회사 접착력이 우수한 다층 박막 및 이의 제조방법
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
JP2007324529A (ja) * 2006-06-05 2007-12-13 Tokyo Electron Ltd ガス導入装置、この製造方法及び処理装置
US7589020B2 (en) * 2007-05-02 2009-09-15 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US7776733B2 (en) * 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US7897514B2 (en) * 2008-01-24 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor contact barrier
KR101540077B1 (ko) 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US7919409B2 (en) * 2008-08-15 2011-04-05 Air Products And Chemicals, Inc. Materials for adhesion enhancement of copper film on diffusion barriers
US8664102B2 (en) 2010-03-31 2014-03-04 Tokyo Electron Limited Dual sidewall spacer for seam protection of a patterned structure
US8673725B2 (en) * 2010-03-31 2014-03-18 Tokyo Electron Limited Multilayer sidewall spacer for seam protection of a patterned structure
US20140048888A1 (en) 2012-08-17 2014-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained Structure of a Semiconductor Device
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
JP6222880B2 (ja) * 2014-09-24 2017-11-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
JP6343256B2 (ja) * 2015-05-29 2018-06-13 東芝メモリ株式会社 半導体装置及びその製造方法
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10355139B2 (en) * 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US11217532B2 (en) 2018-03-14 2022-01-04 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW520072U (en) * 1991-07-08 2003-02-01 Samsung Electronics Co Ltd A semiconductor device having a multi-layer metal contact
KR960010056B1 (ko) * 1992-12-10 1996-07-25 삼성전자 주식회사 반도체장치 및 그 제조 방법
KR100240649B1 (ko) * 1996-11-07 2000-02-01 정선종 삼원계 확산 방지막 형성 방법
KR100243286B1 (ko) * 1997-03-05 2000-03-02 윤종용 반도체 장치의 제조방법
US5962904A (en) * 1997-09-16 1999-10-05 Micron Technology, Inc. Gate electrode stack with diffusion barrier
US5942799A (en) 1997-11-20 1999-08-24 Novellus Systems, Inc. Multilayer diffusion barriers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100459219B1 (ko) * 2001-12-28 2004-12-03 엘지.필립스 엘시디 주식회사 절연막 형성방법 및 이를 이용한 폴리실리콘박막트랜지스터의 형성방법
KR100589285B1 (ko) * 2004-08-19 2006-06-14 주식회사 아이피에스 다중 적층막 구조의 금속 질화 막 증착 방법
US7960278B2 (en) 2005-10-24 2011-06-14 Tokyo Electron Limited Method of film deposition

Also Published As

Publication number Publication date
JP3865599B2 (ja) 2007-01-10
JP2002050588A (ja) 2002-02-15
KR100429522B1 (ko) 2004-05-04
TW522476B (en) 2003-03-01
US6194310B1 (en) 2001-02-27

Similar Documents

Publication Publication Date Title
KR100429522B1 (ko) 비정질 도전성 확산 배리어의 형성 방법
US7732331B2 (en) Copper interconnect structure having stuffed diffusion barrier
US6447933B1 (en) Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6153519A (en) Method of forming a barrier layer
US8071474B2 (en) Method of manufacturing semiconductor device suitable for forming wiring using damascene method
US20070018329A1 (en) Interconnection having dual-level or multi-level capping layer and method of forming the same
US10784157B2 (en) Doped tantalum nitride for copper barrier applications
US20030207564A1 (en) Copper dual damascene interconnect technology
US6576543B2 (en) Method for selectively depositing diffusion barriers
KR20080047383A (ko) 초소형 전자 소자를 위한 금속 상호접속 구조체
WO2001029891A1 (en) Conformal lining layers for damascene metallization
KR100455382B1 (ko) 듀얼 다마신 구조를 가지는 반도체 소자의 금속 배선 형성방법
US20060138670A1 (en) Method of forming copper line in semiconductor device
US8008774B2 (en) Multi-layer metal wiring of semiconductor device preventing mutual metal diffusion between metal wirings and method for forming the same
US20090032958A1 (en) Intermetallic conductors
KR100363086B1 (ko) 반도체소자의 금속배선 형성방법 및 그에 의해 제조된콘택 구조체
KR100769634B1 (ko) 반도체 장치 및 그 제조 방법
KR19980079827A (ko) 동박막의 성막방법
US6979642B1 (en) Method of self-annealing conductive lines that separates grain size effects from alloy mobility
KR19990059074A (ko) 반도체 소자의 금속 배선 형성 방법
KR100846391B1 (ko) 반도체 소자의 텅스텐 실리사이드 게이트 제조 방법
KR100744424B1 (ko) 반도체소자의 제조방법
KR20030059489A (ko) 금속배선 확산방지막을 구비한 반도체 소자의 제조방법
KR20000045863A (ko) 반도체소자의 다층 구리 배선 형성방법
KR100454629B1 (ko) 반도체소자의도전배선형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120418

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20130404

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee