KR20010034036A - 2-웨이퍼 로드록 웨이퍼 처리장치 및 그 로딩 및 언로딩방법 - Google Patents

2-웨이퍼 로드록 웨이퍼 처리장치 및 그 로딩 및 언로딩방법 Download PDF

Info

Publication number
KR20010034036A
KR20010034036A KR1020007007643A KR20007007643A KR20010034036A KR 20010034036 A KR20010034036 A KR 20010034036A KR 1020007007643 A KR1020007007643 A KR 1020007007643A KR 20007007643 A KR20007007643 A KR 20007007643A KR 20010034036 A KR20010034036 A KR 20010034036A
Authority
KR
South Korea
Prior art keywords
wafer
load lock
transfer
chamber
wafers
Prior art date
Application number
KR1020007007643A
Other languages
English (en)
Other versions
KR100354205B1 (ko
Inventor
에드워즈리차드씨.
Original Assignee
히가시 데츠로
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데츠로, 도쿄 엘렉트론 가부시키가이샤 filed Critical 히가시 데츠로
Publication of KR20010034036A publication Critical patent/KR20010034036A/ko
Application granted granted Critical
Publication of KR100354205B1 publication Critical patent/KR100354205B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

비진공 복수 웨이퍼 캐리어(25)로부터 웨이퍼(28)는 웨이퍼 처리장치(10)의 대기압 앞단(32)에 로딩 및 언로딩되며 웨이퍼 처리 클러스터 툴의 이송모듈의 고진공실(31), 혹은 후단에 및 이로부터, 단일 2-웨이퍼 로드록(45)을 통해 이송된다. 바람직하기로는, 웨이퍼(28)의 방위를 줄곧 수평으로 한 상태로, 2개의 웨이퍼(28)는 하나의 로드록(45)을 통해서, 시스템의 고진공 후단으로 내향하여 그에 연속적으로 로딩되고 동시에 이동되며, 동일 로드록(45)을 통해 외향으로 연속적으로 동시에 이동되며, 로드록(45)은 2개의 웨이퍼(28)를 능동적으로 동시에 냉각시키는 한 쌍의 물로 냉각되는 지지물(48)를 구비한다. 대기압 앞단(32) 환경 및 진공 후단 환경에서, 이동암(35, 42)는 로드록(45)에 로딩 및 언로딩하고, 모든 로드록이 밀봉되어 있을 상기 환경들 내에 웨이퍼(28)를 이송한다. 바람직하기로는, 웨이퍼(28)는 캐리어로부터 옮겨진 후 및 로드록(45)에 놓아 두기 전에 웨이퍼 정렬기를 통과한다. 두 개의 웨이퍼(28)가 로드록(45)으로부터 진공 후단으로 옮겨졌을 때, 하나 혹은 2개의 웨이퍼(28)는 후단 진공실(31) 내에 버퍼 위치(69) 내에 임시로 보유될 수 있다.

Description

2-웨이퍼 로드록 웨이퍼 처리장치 및 그 로딩 및 언로딩 방법{Two-wafer loadlock wafer processing apparatus and loading and unloading method thereof}
반도체 웨이퍼의 진공처리에 있어서는 고진공 환경 혹은 처리장치 내 웨이퍼의 분위기 오염을 야기하지 않게 웨이퍼 처리장비에 및 이로부터 웨이퍼를 로딩 및 언로딩해야 한다. 더욱이, 웨이퍼 수율을 최대화하기 위해서, 전형적인 로딩 혹은 언로딩 시퀀스를 수행하는데 걸리는 시간을 최소화하는 것이 바람직하다. 더구나, 140 mm 및 200 mm 직경의 웨이퍼에서 300 mm 직경의 웨이퍼로의 현 추세와 같이 웨이퍼 크기가 계속 증가함에 따라, 오염과 수율 요건을 동시에 만족시키는 것이 점점 더 어려워지고 있어 빈번히 이상적인 것과는 먼 타협 해결책이 되고 있다. 더욱이, 웨이퍼 값이 증가함에 따라, 이를테면 처리의 나중 단계에서 그리고 보다 많은 소자들만이 아니라 보다 복잡한 소자들을 포함하는 점점 더 큰 웨이퍼에 있어서는 장치고장으로부터 웨이퍼 손상에 기인하여 재정손실로 나타나는 것이 증가하여, 웨이퍼 이송장치에 보다 높은 신뢰성 요건이 강요되었다.
현재 사용되는 대다수 종래 기술의 반도체 웨이퍼 진공처리 시스템은 200 mm까지의 웨이퍼 크기용의 진공 카세트 승강기(VCE)라고 하는 것을 이용하고 있다. VCE 장비를 갖춘 종래기술의 웨이퍼 처리 시스템(10)의 예를 도 1에 도시하였다. 시스템(10)은 고진공으로 펌핑될 수 있는 로드록실(12), 로드록실(12) 내에 위치한 승강기 조립체(13), 로드록실(12)이 대기압 상태에 있을 때 복수 웨이퍼 카세트(15)를 조작자가 로딩 및 언로딩할 수 있도록 한 앞문(14), 로드록실(12)이 고진공 상태에 있을 때 개별적으로 웨이퍼를 이송하기 위해 VCE(11)를 어떤 형태의 웨이퍼 이송 모듈(17)에 접속하는 슬릿 밸브로 격리된 인터페이스 포트(16)로 구성된 적어도 하나의 VCE(11)를 포함한다.
VCE(11) 사용에 기초한 처리장치(10)의 전형적인 동작은 조작자가 VCE(11)의 문(14)을 열고 새로운 카세트(15)의 웨이퍼(18)를 승강기(13) 위에 놓아 둠으로써 개시된다. 이어서 문(14)을 닫은 후에 닫힌 상태에서 인터페이스 포트(16)의 슬릿밸브를 사용해서 펌핑함으로서 VCE(11) 내에 적합한 진공레벨을 수립한다. 소정의 진공압력 레벨에 이르는 펌핑시간은 일반적으로 VCE(11)의 체적과 VCE(11) 및 그 안에 있는 웨이퍼(18)들의 노출된 내표면적에 비례한다. 적합한 VCE 진공레벨이 도달하였을 때, VCE(11)와 진공 이송실(17) 사이의 격리 슬릿 밸브 포트(16)를 열어 웨이퍼 이송 모듈(17) 내의 로봇 암(19)이 VCE(11)에 액세스할 수 있게 한다. 이어서 승강기(13)는 카세트(15) 내의 원하는 웨이퍼(18)에 이송 암(19)이 액세스할 수 있게 카세트(15)의 위치를 정한다. 이어서 로봇의 이송 암(19)은 슬릿 밸브 포트(16)를 통해 VCE(11)로 뻗어, 위치하여 있는 웨이퍼(18)를 취하여 장치(10)의 적합한 처리모듈(20)로 웨이퍼(18)를 옮길 것에 대비하여 이송 모듈(17)로 다시 물러난다. 이들 단계는 VCE(11)가 진공상태에 있는 상태에서 웨이퍼를 카세트(15)로 돌려보내고, 슬릿 밸브를 닫고 VCE(11)를 펌핑하는 대신 VCE(11)를 대기로 배기하여 역으로 수행된다.
도 1의 종래기술의 장치(10)는 300 mm크기와 유사한 크기의 개방형 웨이퍼 카세트도 사용한다면 300 mm 웨이퍼에 사용될 수 있다. 그러나, 많은 이유로, 웨이퍼 처리장비의 실사용자들인 반도체 장치 제조업자들은 고진공에 호환되지 않으며 착탈가능 카세트(15)를 사용하지 않는 유형의 웨이퍼 캐리어를 선호하고 그에 대한 표준을 수립하는 중에 있다. 이러한 캐리어(25)를 도 2에 도시하였다. 캐리어(25)는 12 혹은 13개의 등간격 혹은 25 혹은 26개의 등간격으로 표준화될 수 있는 캐리어(25)에 내장된 수직으로 배열된 수평 웨이퍼 지지 레일(26)을 포함한다. 캐리어(25)는 서로 다른 처리장비들간에 웨이퍼(28) 이송 중에 통상 닫혀지는 앞문(27)을 갖고 있다.
캐리어(25)는 고진공에 호환되는 것이 아니고 카세트 혹은 카세트 승강기를 전혀 포함하지 않기 때문에, 웨이퍼는 캐리어(25)에서 웨이퍼 처리장비로 대기압에서 이송되어야 한다. 종래기술에서 생각한 수월한 방법은 캐리어(25)로부터 웨이퍼(28)를 도 1의 장치(10) 등의 처리장치로 이송시키는 것이다. 12 혹은 13웨이퍼 혹은 25 혹은 26 웨이퍼를 채운 캐리어(25)를 대향 VCE(11) 내에 두고자 하는 경우엔 캐리어(25)로부터 웨이퍼들을 VCE(11)에 신속하게 옮기는 방법을 생각해내야 할 것이다. 하나의 웨이퍼를 일련으로 이송하는 방식은 로딩 및 언로딩 사이클에 현저한 시간을 더하므로 바람직하지 않다. 캐리어(25)에서 VCE(11)로 하나 혹은 2개의 묶음으로 이송시키는, 복수의 웨이퍼를 동시에 이송시키는 방식이 제안되었다. 그러나, 이러한 병렬 이송방식은 단일 장비고장에 기인하여 복수 웨이퍼에 손상이 가는 위험으로 피하는 것이 바람직한 위험이 있다. 동시에 웨이퍼를 이송할 때 피하기 어려운 것으로서 다른 미가공된 웨이퍼 위에 놓인 웨이퍼의 이면을 기계적으로 닿을 가능성으로 미립자 오염 문제 가능성이 있다. 더욱이, 직경이 300 mm 이상인 웨이퍼를 유지하는 크기의 VCE에 있어서는 VCE 펌프 다운 및/또는 배기시간이 허용할 수 없을 정도로 길어질 수 있어 이로 인해 로드록 사이클이 처리장치의 동작에서 수율제한 요소로 된다. 펌프 다운 혹은 배기시간의 절충으로 이들 지연을 보상하는 것은 이송실의 분위기 오염이나 웨이퍼 상의 미립자 오염, 혹은 이들 양자를 증가시키게 된다.
큰 직경의 웨이퍼에 대해서는 큰 웨이퍼에 필요한 큰 VCE를 펌핑하기 위해서는 큰 고진공 펌프가 필요하다. 이러한 큰 펌프는 VCE로부터 기계적으로 분리하기가 어렵고, 결국 이러한 펌프는 한 웨이퍼에서 그 밑의 다른 웨이퍼로 입자들이 떨어지게 할 수 있는 진동이 VCE로 전달될 수 있다. 마찬가지로, VCE 내 승강기의 상하운동이 상측 웨이퍼에서 하측 웨이퍼로 진동에 의해 입자가 떨어지는 것을 증가시킬 것으로 생각된다. 진동은 카세트 내 웨이퍼들의 위치를 벗어나 이동하게 할 수도 있어 이송암이 픽업하는데 필요한 위치를 벗어나게 할 수 있다.
따라서, 고진공 환경의 분위기 오염 혹은 웨이퍼 처리장치 내 웨이퍼의 미립자 오염을 야기시키지 않으며, 특히 이를테면 300 mm 이상의 직경의 큰 직경 웨이퍼에 대해서 장비의 웨이퍼 수율을 제한하지 않으며, 웨이퍼 이송장치에 보다 높은 신뢰성 요건을 강요하게 되는 장치로부터의 복수 웨이퍼 손상에 기인한 재정손실 위험을 증가시키지 않으면서 VCE가 아닌 캐리어로부터 웨이퍼를 웨이퍼 처리장비에 및 그로부터 로딩 및 언로딩할 필요성이 있다.
본 발명은 웨이퍼 처리장치의 로딩 및 언로딩에 관한 것으로 특히 대기압 환경과 고진공 환경간에 대형 반도체 기판 배치(batches)로부터 기판들을 이송하는 것에 관한 것이다.
도 1은 종래기술의 VCE를 갖춘 클러스터 툴의 단면 입면도.
도 2는 고진공에 호환되지 않고 착탈가능 카세트를 사용하지 않는 것으로 업계에서 제안한 웨이퍼 캐리어의 사시도.
도 3은 본 발명의 바람직한 일 실시예에 따른 단일, 2-웨이퍼 로드록이 장치된 웨이퍼 처리장치의 평면도.
도 4는 열린 위치에서 단일의 2-웨이퍼 로드록을 도시한 도 3의 선 4-4을 따른 단면도.
도 4a, 4b 및 4c는 대기압 환경에서 고진공 환경으로 웨이퍼의 이송을 예시한 도 4의 로드록의 순서도.
도 5는 도 4c의 선 5-5을 따라 취한 단면도.
도 6는 도 3과 유사한 것이나, 본 발명의 대안 실시예의 평면도.
도 7은 도 6의 선 7-7을 따라 취한 단면도.
본 발명의 주요 목적은 반도체 웨이퍼 처리장치 및 공정에서 대형 진공 카세트 승강기 필요성을 제거하는 것이다. 본 발명의 또 다른 목적은 반도체 웨이퍼 처리장치 내 로드록의 펌핑 및 배기에 필요한 시간을 현저하게 감소시키며, 특히 로드록의 수율한정 요인을 방지하는 것이다.
본 발명의 또 다른 목적은 처리장치에 및 이로부터 웨이퍼의 이송에서 입자 오염을 감소 혹은 피하는 것이다. 특히, 본 발명의 목적은 승강기 이동, 및 그와 연관한 입자 오염문제를 야기하는 진동의 제거, 고진공 펌프의 크기와 펌프동작에 기인한 진동감소, 및 대형 고진공 펌프 사용의 필요성을 피하는 것을 포함한다.
본 발명의 또 다른 목적은 웨이퍼 품질인증(qualification) 중에 사용되는 것과 같은 특히 소량의 웨이퍼 배치(batch)에 대해서 개선된 웨이퍼 처리장치 수율을 제공하는 것이다. 본 발명의 특정한 목적은 웨이퍼 처리장치에 있어 대형 VCE 및 로드록이 웨이퍼 처리장치의 수율을 제한할 가능성을 감소시키는 것이다.
본 발명의 부가적인 목적은 동시에 혹은 병렬로 웨이퍼 처리의 필요성을 제거하고 특히 그럼으로써 복수 웨이퍼에의 손상을 줄 위험 및 입자가 웨이퍼에 낙하할 가능성을 감소시키는 것이다.
본 발명의 특정한 목적은 웨이퍼 처리장치의 웨이퍼 수율이 웨이퍼 냉각 및 정렬에 의해 영향을 받지 않는 것을 제공하는 것이다.
본 발명의 또 다른 목적은 간단하고 경제적이며 효율적으로 패키지와 되는 장치를 제공하면서 전술한 목적을 최적화하는 것이다.
본 발명의 원리에 따라서, 이송기구를 구비한 대기압 앞단 모듈(AFE)을 사용하여 로드록을 통해 접속하는 고진공 이송기구를 구비한 이송모듈을 갖는 웨이퍼 처리 클러스트 툴이 제공된다. 바람직하기로는, 단일의 로드록이 사용되는데, 이것은 2개의 나란하게 수직으로 이격되고 수평방위로 놓인 웨이퍼를 보유하도록 구성된다. 이송 모듈 내 이송기구는 로드록과 처리모듈간에 웨이퍼를 개별적으로 옮기며, 그들 각각은 이송모듈에 격리밸브를 통해 접속하고 또한 고진공 환경에서 처리모듈간에 웨이퍼를 이동시킨다. 앞단 모듈 내 이송기구는 대기압 환경에서 하나 이상의 로드록과 복수의 복수-웨이퍼 캐리어들간에 웨이퍼를 개별적으로 이동시킨다. AFE 이송암이나 각각의 캐리어는 선택된 개별 웨이퍼가 이송암에 의해 웨이퍼의 수평 옮김에 의해 캐리어로부터 로딩되거나 언로딩되게 하도록 수직으로 이동할 수 있다. AFE와 이송모듈간 접속은 바람직하게는 상하배치형인 하나의 2-웨이퍼 로드록을 통해 행해지며, 이것은 진공압력측이나 상부에 대기압력측을 가질 수 있다.
본 발명의 바람직한 실시예에 따라, AFE는 웨이퍼 정렬기 및 2개 혹은 3개의 복수-웨이퍼 캐리어에 접속하기 위한 장치를 포함한다. 웨이퍼를 진공으로 이송하는 내향 로드록으로서 동작하며 웨이퍼를 진공밖으로 이송하는 외향 로드록으로서 작용할 수 있는 하나의 로드록이 설치된다. 외향 로드록으로서 동작할 수 있는 로드록엔, 웨이퍼가 처리된 후 및 웨이퍼가 캐리어로 다시 로딩되기 전에 로드록 배기 사이클 동안, 웨이퍼를 냉각시키는 냉각요소가 장치된다. 이러한 냉각요소를 구비한 외향 로드록은 처리온도에 있거나 그에 가까운 고온의 웨이퍼를 지지할 수 있다. 따라서, 이러한 외향 로드록엔 바람직하게는 예를 들면 금속의 고온 호환 웨이퍼 지지요소가 제공된다. 하나 이상의 로드록이 제공될 수 있으며, 각각은 하나 로드록이 고장났을 경우 계속된 동작이 되게 각각에 냉각능력을 갖추어 최적의 수율을 위한 내향 및 외향 웨이퍼에 대해 사용하도록 할 수 있다.
본 발명의 대안 실시예에서, 별도의 전용 내향 로드록 및 외향 로드록이 설치될 수도 있다. 이러한 경우, 전용 내향 로드록에는 냉각요소가 설치될 필요가 없으며, 이것은 가격을 낮추며, 그 안에 기판용 지지물들은 고온 상태의 웨이퍼를 지지할 능력이 없어도 된다. 결국, 고 마찰 엘라스토머 웨이퍼 지지구조물을 사용할 수 있어 그 위에 지지된 기판이 진동되거나 위치로부터 벗어날 가능성이 줄어들어, 로드록에서 기판 이동을 고속으로 수행할 수 있게 된다. 마찬가지로, 웨이퍼 정렬 응력은 외향 웨이퍼에 있어서는 중요한 것이 아니기 때문에, 웨이퍼의 외향 이송에 사용되는 로드록은 고속으로 동작될 수 있다.
AFE는 엷게 흐르는 환경에서 유지되는 것이 바람직하다. 바람직하게는, 캐리어는 장치의 AFE 부분 근처로 이송되는 대기환경에 로딩된다. 이러한 캐리어는 바람직하게는 캐리어 액세스 문이 열릴 때 AFE 이송암에 의해 그 안의 웨이퍼들에 액세스하도록 적합한 위치 및 방위로 안쪽 AFE실에의 개구부에 면한 캐리어 문을 캐리어에 제공한 구조물에 고정된다. 이와 같이 배치되어 방위를 취하였을 때, AFE 내 기구는 AFE 이송암이 액세스할 수 있게 캐리어의 문을 조작한다. 캐리어 문이 열렸을 때, 클린 에어 혹은 기타 가스의 엷고 바람직하게는 수평 흐름이 AFE에서 유지되어 입자 및 가스가 로드록으로부터 그리고 캐리어들로부터 떨어져서 흐르게 된다.
본 발명에 따라서, 캐리어는 공기의 엷은 흐름이 AFE실 내에서 유지되는 동안 AFE 실에의 개구부에 인접한 위치로 이동된다. 캐리어 문이 열리고, 웨이퍼, 바람직하게는 맨 밑에 있는 미가공된 웨이퍼가 열린 캐리어로부터 AFE 이송암에 의해 픽업되어, 장치의 고진공실로부터 밀봉되어 있고 AFE실로 열려져 있는 로드록에 놓이게 된다. 로드록에 놓인 웨이퍼는 융기된 리프트 핀의 상면 상에, 바람직하게는 2 세트의 핀 중 상측의 것 위에 놓여지고, 이송암은 로드록실로부터 물러난다. 이어서 제 2 웨이퍼는 캐리어로, 바람직하게는 캐리어 내 다음으로 높은 위치로부터 옮겨져, 제 2 세트의 융기된 리프트 핀의 상면 상에, 바람직하게는 하측의 핀 위에 놓여지고, 이송암은 로드록실로부터 물러난다. 이어서 로드록실은 AFE실로부터 밀봉되고, 로드록은 고진공 이송실의 고진공레벨에 호환되는 진공레벨로 펌핑된다. 로드록실이 펌핑되고 있는 사이에, AFE 이송암은 동일 캐리어, 혹은 있다면 또 다른 캐리어로부터 또 다른 웨이퍼를 옮겨, 로드록실 내 둘 준비가 된 위치에서 웨이퍼를 보유하거나, AFE 이송암은 펌프 다운 시간을 이용해서 있다면 또 다른 로드록으로부터 웨이퍼를 옮겨 이를 캐리어 내에 둘 수 있다.
내향 미가공 웨이퍼를 담고 있는 로드록이 적합한 진공으로 펌핑되었을 때, 로드록은 고진공 이송실로 열리고 이때 리프트 핀, 바람직하게는 하측 세트의 핀 상의 웨이퍼는 로드록으로부터 옮겨질 위치로 수직으로 이동되고 이어서 처리실 중 하나로 이송된다. 이어서, 처리된 웨이퍼는 처리실로부터 옮겨져 로드록 내 하측 세트의 핀 상에 놓여지고, 대부분의 공정에서, 수율은 이와 같이 함으로써 최적화된다. 바람직하게는 다른 세트의 리프트 핀, 상측 세트의 핀 상의 웨이퍼는 로드록으로부터 옮겨질 위치로 수직으로 이동되고 이어서 처리실 중 하나로 이송된다. 이어서, 또 다른 처리된 웨이퍼는 처리실로부터 옮겨져 로드록 내 상측 세트의 핀 상에 놓여지며, 대부분의 공정에서, 이와 같이 행함으로써 수율이 최적화된다.
이상적으로는, 하나 혹은 2개의 웨이퍼는 로드록이 펌핑되고 있을 때 로드록으로 내향 이송되고, 하나 이상의 웨이퍼는 로드록이 배기되고 있을 때 로드록으로 외향 이송된다. 대안으로, 하나의 로드록은 전용 내향 로드록일 수 있고 또 다른 하나는 전용 외향 로드록일 수 있다. 어느 경우든, 하나 이상의 외향 웨이퍼가 고진공 후단, 또는 HVBE로부터 로드록 내에 놓여질 때, 로드록은 고진공 이송실로부터 닫혀지고 대기압으로 배기된다.
웨이퍼가 처리되었을 때, 웨이퍼는 각각이 공정에서 사용되는 이들의 최종 처리실로부터 로드록으로 이송되어, 처리된 웨이퍼가 고진공 이송실의 이송암에 의해 연속적으로 각각 한 세트의 핀 상에 놓여지고, 이송암은 로드록으로부터 물러난 후 웨이퍼는 실이 고진공 분위기로부터 밀봉되었을 때 외향 이송용 로드록실로 수직으로 이동된다. 지지물 내 핀들은 이때 바람직하게는 지지물 위에 웨이퍼를 두기 위해서 하강되고, 웨이퍼 기지물 내 냉각관이 작용하여 웨이퍼로부터 열을 제거하고 그럼으로써, 현재 가공된 웨이퍼가 가질 수 있을 높은 온도에 캐리어가 호환할 수 없을 수도 있으므로 웨이퍼를 캐리어 내에 두는데 적합한 온도로 웨이퍼를 냉각시키게 된다. 냉각속도 및 배기가스는 고온의 웨이퍼가 공기와 접촉하면 웨이퍼의 막특성이 열화할 수 있으므로 이를 피하도록 선택된다.
가공된 웨이퍼가 로드록에서 냉각되고 로드록이 AFE실의 대기압 수준으로 배기되었을 때, 로드록은 AFE실로 열리고, 리프트 핀은 웨이퍼를 들어올려 AFE 이송암은 순차적으로 웨이퍼를 픽업하여 이들을 캐리어들 중 하나로, 바람직하게는 이들이 옮겨졌던 것부터 캐리어에 돌려보낸다. 캐리어로부터 웨이퍼의 순환은 바람직하게는 장치의 고진공 처리부 내에 웨이퍼용 처리공간이 있을 때, 맨 밑의 웨이퍼를 선두로, 캐리어의 맨 밑부터 맨 위로 순차적으로 각각의 웨이퍼를 옮기는 것을 포함한다. 웨이퍼는 일단 처리되었으면 이들이 옮겨졌던 동일한 순서로 캐리어로 돌려보내지고, 웨이퍼들이 옮겨졌던 캐리어 내 동일 슬롯 혹은 위치에 놓여진다. 따라서 캐리어는 하단부터 상단으로 다시 채워진다. 따라서 캐리어에는, 캐리어의 하단으로부터 쌓아지는 가공된 웨이퍼의 부분적인 스택의 상단에 있는 캐리어로 복귀될 마지막 웨이퍼와 캐리어 상단으로 확장하는 미가공 웨이퍼의 부분적인 스택의 하단에 있는 처리를 위해 옮겨질 다음 미가공 웨이퍼 사이엔 빈 슬롯 부분이 있게 될 것이다.
본 발명의 일 실시예에 따라서, 버퍼 스테이션은 웨이퍼가 로드록에 로딩되거나 이로부터 언로딩될 때 최소 대기시간으로 로드록이 동작하게 HVBE 이송실 내에 설치된다. 이러한 버퍼 스테이션은 HVBE 이송실 내에 지지물 형태가 될 수 있으며, 혹은 버퍼채널은 이송실에 영구히 열려져 있고 HVBE 이송실의 진공레벨에 유지된 이송실 포트 중 하나에 제공될 수도 있다.
본 발명의 어떤 면에 따라서, AFE 내 웨이퍼는 또 다른 캐리어의 웨이퍼가 로드록에 및 이로부터 AFE 이송암에 의해 순환되고 있을 동안 미가공 웨이퍼의 캐리어와 교환된다. 이 경우, 사용중의 캐리어가 점유하는 AFE실의 부분과 캐리어 혹은 교환되는 캐리어가 점유하는 부분간에 공기흐름을 제약하는 구조물이 선택적으로 설치될 수 있다.
본 발명의 바라직한 실시예에서, 캐리어에서 로드록으로 로딩되는 웨이퍼는 웨이퍼 정렬 스테이션을 통과하는데, AFE의 이송암에 대해 웨이퍼 상의 플랫(flat) 혹은 다른 기준을 각도도 방위를 취하게 한다. 정렬기는 이송암 상의 웨이퍼를 중심에 둘 수 있으나 바람직하게는 중심 이탈 x-y 거리를 측정하여 이송암 이동을 중심이탈 거리를 보상하게 제어한다. 고진공이 아닌 AFE 내에 정렬기를 배치함으로써 수율이 향상된다. 언급한 웨이퍼의 모든 처리 중에, 웨이퍼는 바람직하게는 웨이퍼의 소자측이 위로 향하게 한 수평방위로 유지된다. 또한 바람직하게는 로드록, 정렬기와 캐리어들 간에 AFE 내에서 웨이퍼의 대부분의 이동은 공통의 평면에서 웨이퍼의 에지로 이동되게 수행되어, 단지 하나의 웨이퍼 이동은 수직성분의 이동에 연루된 적합한 위치로부터 웨이퍼를 선택하고 이 위치로 웨이퍼를 돌려보내기만 하면 된다. 마찬가지로, 로드록과 이송실 내 처리 스테이션들간 웨이퍼의 이동은 공통평면에서 웨이퍼의 에지 이동으로 수행된다. AFE 및 이송실 내에서 이동평면들은 바람직하게는 수직으로 떨어져 있으며, 수직으로 떨어져 있는 평면들간 이동은 로드록을 통한 웨이퍼의 이동에 의해 발생하며, 이것은 단지 수직이동에 의한 것이 바람직하다. 이송암은 로드록의 지지핀에 웨이퍼를 놓거나 이로부터 웨이퍼를 들 때 약간의 수직 움직임이 있을 수 있다.
본 발명은 특히 대형 웨이퍼용으로 설계되어 있고 이를 담는 경우 연관된 대향 VCE 및 긴 펌핑 및 배기시간을 제거한다. 따라서, 특히 소량의 웨이퍼 배치로 더 양호한 수율이 달성되며, 로드록 동작은 수율을 한정시키는 요인이 안될 것이다. 특히, 장치 수율에 악영향을 미치지 않고 캐리어를 다른 캐리어로 대치할 시간을 얻을 수 있다. 일단 웨이퍼가 장치에 로딩될 위치에 삽입되면 어떠한 캐리어 이동도 일어나지 않는다. 상기 또 다른 웨이퍼로부터 한 웨이퍼의 픽업, 및 이것이 만들어 내는 하측 웨이퍼에 입자가 떨어질 가능성이 회피된다. 로드록 펌핑을 위한 고진공 펌프의 크기가 본 발명에 의해 감소되고, 이것은 비용, 사이클 시간, 및 입자오염과 캐리어 내 원하지 않는 진동이 유발된 웨이퍼 이동을 증가시킬 수 있는 잠재된 진동을 감소시킨다. 캐리어에 및 이로부터 단일 웨이퍼 이동은 산업 검증된 로봇 이송장치를 사용하여 제공된다. 본 발명에 의해서, 고진공 정렬기의 경우보다 낮은 비용, 보다 덜 복잡한 취급 및 고속 동작을 제공하는 표준 대기압 정렬기를 사용할 수 있다. 2개 이상의 캐리어를 본 발명의 바람직한 실시예에서 수용될 수 있다. 2개 웨이퍼 상하 배치 로드록에, 여기 참고로 포함시키는 미국특허 제5,237,756호 및 제5,205,051호의 오염 회피 특징물이 쉽게 장치될 수 있다.
본 발명의 장치는 설계의 간이성, 경제적인 비용 및 공간의 효율적인 이용을 제공한다. 특히, 하나 이상의 로드록에 연관된 구성성분의 비용은 부가적인 저온펌프 및 압축기를 제거함으로써 제거될 수 있다. 부가적인 밸브, 게이징 및 기타 가공부품이 감소된다. 전체 장치의 구성성분을 경제적으로 동작하며 따라서 본 장치는 신뢰성이 높다. 더욱이, HVBE 내 이송포트는 제 2 로드록용으로 사용되나 다른 목적으로서 사용될 수 있다.
본 발명의 이들 및 다른 목적은 본 발명의 다음 상세한 설명으로부터 쉽게 명백하게 될 것이다.
도 3은 반도체 웨이퍼 처리장치(30)의 바람직한 일 실시예를 도시한 것이다. 장치(30)는 2개의 부분으로서 고진공 후단(HVBE)(31)과 대기압 앞단(AFE)(32)을 포함한다. HVBE(31)은 4개의 모듈(34a-34d)로서 도시되어 있으나 이러한 모듈을 5개 이상의 임의의 개수의 모듈을 포함하는 있는 이러한 다수의 처리실(32)이 접속되는 이송실(33)을 포함한다. 이송실(33)은 선회되며 방사상으로 신장될 수 있는 웨이퍼 이송암(35)을 구비한다. 이 이송암은 시판되는 형태이고 수직축(36)에 관하여 회전되게 이송실 내에 장착되며, 처리모듈(34) 간에 그리고 단일의, 그러나 하나 이상의 록드록을 포함할 수 있는 것이나 HVBE(31)과 AFE(32)간에 웨이퍼들을 옮기것인 단일의 로드록 스테이션(37)을 포함하는 것으로 도시된 로드록 스테이션에 및 그로부터 웨이퍼들을 개별적으로 옮길 수 있다.
이송실(33)과 처리실(34)을 포함하는 HVBE(31)는 처리장치(30)의 동작 중에 고진공을 포함하며, AFE(32)는 공기 혹은 건 불활성 가스 등의 다른 가스를 분위기 혹은 대기압 수준으로 포함한다. 각각의 처리실(34)은 슬릿 밸브(38)를 통해 이송실(33)과 연락하는데, 이 슬릿 밸브는 이송암(35)의 수평면에 웨이퍼 이송슬릿을 구비하고, 이 슬릿밸브를 통해서 암(35)은 슬릿밸브의 수평 슬릿을 통해 이송실(33)로부터 및 이에 처리실(34)에 및 그로부터 개별적으로 웨이퍼를 옮긴다.
AFE(32)는 복수의 캐리어 지지 스테이션(40)을 포함하는데, 그 각각은 도 2에 도시한 바와 같은 개별적으로 착탈가능한 카세트들을 갖지 않은 형태의 캐리어(25)를 지지할 수 있다. 캐리어 스테이션 수는 바람직하게는 2개 이상이고, 이러한 스테이션(40a, 40b)이 두개가 도시되었다. 캐리어 스테이션(40) 각각은 수직 랙에 일단(batch)의 웨이퍼, 혹은 바람직하게는 300 mm 캐리어(25)이거나 VCE(도 1)에서 통상 사용되었던 류의 종래의 개방형 웨이퍼 카세트 형태의 캐리어를 받아들인다. AFE(32)는 웨이퍼 정렬기 스테이션(41), 및 바람직하게는 수직축(43)으로 선회하는 시판되는 류의 신장가능한 웨이퍼 이송암(42) 형태인 웨이퍼 이송장치 로봇을 또한 포함한다. 암(42)은 캐리어 스테이션(40a, 40b)에 캐리어(25)에 및 이로부터, 정렬기 스테이션(41)에 및 이로부터, 그리고 로드록 스테이션(37)에 및 이로부터 개개의 웨이퍼를 이송한다. 정렬기 스테이션(41)엔 예를 들면 암(42)에 대해 웨이퍼를 위치를 맞추고 어떤 중심을 벗어난 거리를 측정하여 보상하는 이송암 이동으로 이 중심 이탈 거리를 보상할 수 있는 광학 정렬기 등, 몇몇 시판되는 류 중 어떤 웨이퍼 정렬기가 장치된다. AFE(32)엔, 이송암(42), 정렬기 스테이션(41) 및 로드록 스테이션(37)의 대기측을 에워싸는 시트 금속 엔클로저(74)가 설치된다. 엔클러저(74)엔 캐리어 스테이션(40a, 40) 각각에 하나씩 복수의 개구부(44)가 있다. 캐리어 문(27)이 열렸을 때 캐리어(25) 내에 있는 웨이퍼들을 이송암(42)이 액세스할 수 있게 캐리어 문(27)이 개구부에 면하거나 이 안으로 돌출하게 캐리어(25)가 개구부를 덮는 위치에 캐리어(25)의 정면이 놓일 수 있게 개구부(44)가 형상화되어 있다.
장치(30)의 실시예에서, 적어도 하나의 캐리어 로딩 스테이션(70)은 사용자의 클린룸 환경 내에 설치된다. 스테이션(70)은 조작자 혹은 장치(30)에 웨이퍼를 로딩 및 이로부터 웨이퍼를 언로딩하는 로봇 캐리어 취급장치(미도시)로부터 캐리어(25)를 받고 이에 캐리어를 주도록 배치된 플랫폼 혹은 캐리지(미도시)를 포함한다. 로딩 스테이션(70)의 플랫폼 혹은 캐리지는 로딩 스테이션(70)과 캐리어 스테이션(40a, 40b) 중 어느 하나간에 캐리어(25)를 자동으로 옮길 캐리어 취급능력을 갖고 있어야 한다.
도 4에 도시한 바와 같이, 로드록 스테이션(37)엔 독립적으로 동작가능한 2-웨이퍼 로드록(45)이 설치되어 있고, HVBE(31)의 상측 혹은 하측 수평벽의 일부의 벽에 설치되어 있다. 로드록(45)은 바람직하게는 한번에 두 개의 웨이퍼를 AFE(32)의 대기압 환경에서 HVBE(31)의 고진공 환경으로 2개의 분위기간 격리를 유지하면서 통과시킬 수 있게 한다. 로드록(45)엔 HVBE(31)에 반드시 진공레벨은 아니나 꽤 높은 고진공압력 레벨로 로드록(45)을 펌핑할 수 있는 고진공 극저온 펌프(46)가 설치된다. 로드록 펌핑은 AFE(32)로부터 HVBE(31)로 이동되는 웨이퍼 주위를 로드록(45)을 밀봉시킨 상태에서 일어난다. 로드록(45) 각각엔, 또한 AFE(32)로부터 HVBE(31)로 이동되는 웨이퍼 주위를 로드록(45)이 기밀하게 되었을 때 AFE(32) 내에 있는 종류의 것일 수 있는 가스를 도입하여 대기압으로 로드록(45)의 배기속도를 제어하여 배기하도록 동작하는 배기밸브 구조물(39)이 설치된다.
록드록(45)은 도 4에 상세히 도시된 바와 같이 상측 지지물(48a) 및 하측 지지물(48)을 포함하는 웨이퍼 이송 지지물(48) 상에 수직으로 이격되고 수평 방위로 놓인 평행한 2개의 대형 웨이퍼를 담는데 필요한 용적으로 제한된 용적을 갖는 기밀하게 할 수 있는 로드록실(47)을 둘러싼다. 지지물(48a, 48b)의 수직크기는 상세하게 도시하기 위해서 도면엔 확대하여 도시되었고, 실제로는 두 개의 지지물(48a, 48b)의 간격과 수직크기는 로드록실(47)의 용적을 최소화하기 위해 가능한한 작게 되어 있다.
로드록(45)은 이송실(33)과 AFE(32) 사이에 있는 이송실(33)의 벽(51), 예를 들면 수평 상측 벽 내의 개구부(50)에 배치되어 있다. 로드록(45)에는 이송실(33)의 상측벽(51)에 대해 밑으로 이동하는 것으로 수직으로 이동가능하며 하향 상부 컵 모양의 로드록실 커버(52)가 설치되어 있다. 커버(52)는 이 커버(52)가 선택적 하강에 의해 AFE(32) 내 대기압 환경으로부터 로드록실(45)을 기밀하게 하기 위해서 커버의 기부 가장자리 주변 주위에 환상의 시일(55)을 구비한다. 커버(52)는 AFE 이송암(42)에 의해 로드록(45)에 혹은 이로부터 웨이퍼를 이송할 수 있게 상측으로 올려진다.
유사하게, 개구부(50) 밑의 벽(51)의 기부측엔 수직으로 움직일 수 있는 웨이퍼 승강기(56)가 설치되어 있고, 이 승강기는 상향 방위의 웨이퍼 지지물(48a, 48b), 및 상향 컵 형상 클로져 패널(57)을 포함한다. 클로져 패널(57)엔 이 클로져 패널의 선택적 상승에 의해 HVBE(32) 내 저압 환경으로부터 로드록실(47)을 기밀하게 하기 위해서 클로져 패널의 상부 가장자리 주변 주위에 환상의 시일(58)을 구비한다. 클로져 패널(57)은 HVBE 이송암(35)에 의해 로드록(45)에 혹은 이로부터 웨이퍼를 이송할 수 있게 상승된다.
웨이퍼 지지물(48a, 48b) 각각은 바람직하게는 리프트 핀(59) 배열을 포함한다. 각 지지물(48a, 48b)의 핀(59)은 지지물(48a, 48b)의 표면으로 혹은 그로부터 웨이퍼를 옮기는 것에 동기하여 선택적으로 하강 및 상승될 수 있다. 통상, 핀(59)은 이송암(35, 42)과 지지레벨(48a, 48b)간 웨이퍼 수수를 용이하게 하기 위한 상승위치에 있다. 이러한 웨이퍼 수수를 위해서, 상승하여 있는 핀의 상면에 의해 정해진 평면과 로드록실(47)에 및 이로부터 웨이퍼가 수평으로 이동되는 그 보다 약간 높은 수평면 사이를 이송암이 잡은 웨이퍼를 수직으로 이송암(45)이 이동시킨다. 도시된 양방향 로드록에서, 핀(59)은 금속과 같은 내고온 물질로 만들어진다. 로드록이 전용 내향(inbound) 로드록인 경우, 핀들은 냉각 플랫폼으로 웨이퍼를 하강시키는 능력을 갖출 필요가 없으므로 지지물(48)에 고정된 핀일 수 있다. 내향 및 외향(outbound) 로드록 모두를 갖는 복수 로드록 실시예에서, 전용 내향 로드록 내 핀(59)은 내열 물질일 필요는 없으며 따라서 바람직하게는 플랫폼에 웨이퍼를 이동시킬 필요없이 플랫폼을 보다 신속하게 조작하게 하는 높은 마찰 물질로 만들어진다.
로드록 공정(즉, 진공환경에서 다음 이송 및 처리를 위해서 HVBE(31)에 웨이퍼를 이동시키는 공정)에서 로드록(45)의 동작에서, AFE(32)에서 로드록 스테이션(37)으로 HVBE(31)로 이송하기 위한 웨이퍼 이송 전에, 로드록(45)은 AFE(32)의 분위기로 배기되어 있을 것이며 커버(52)는 상승되어 도 4에 도시한 바와 같이 AFE실(32) 내부로 로드록(45)이 열려 있다. 이 상태에서, 클로져 패널(57)은 상승되어 HVBE(31)의 고진공 분위기로부터 로드록(45)을 기밀하게 할 것이다. 핀(59)이 상승된 상태에서, AFE 이송암(42)은 신장하여, 지지레벨(48a, 48b) 중 한 지지레벨 위의 상승된 핀(59)의 상면의 평면과 이송실(33)의 상측벽(51)의 평면 위에, 웨이퍼(60)를 이송암(42)의 수평면 내 로드록실(47) 내 중앙에 위치시킨다. 웨이퍼(60)가 로드록실(47) 내 중앙에 위치되었을 때, 암(42)은 지지물(48a, 48b) 중 하나, 바람직하게는 먼저 상측 지지물(48a) 쪽으로 약간 낮추어 웨이퍼(60)를 핀(60) 위에 놓는다. 바람직하게, 이어서 제 2 웨이퍼(60)를 도 4a에 도시한 바와 같이 하측 지지물(48b)의 핀(59) 위에 유사하게 놓는다.
이어서, 도 4b에 도시한 바와 같이, 암(42)이 물러난 상태에서, 커버(52)는 적소에 하강되고, 작은 용적의 로드록실(47)이 펌프(46)의 동작에 의해 배기된다. 로드록 배기 사이클이 완료되었을 때, 맨 밑의 승강기 장치(56)가 고진공의 이송실(33)로 하강되어 커버(52)로 개구부(50)를 밀봉시키고 여기서 웨이퍼(60)는 도 4c에 도시한 바와 같이, 웨이퍼(60)와 맞물려 있는 HVBE 이송암(35)의 이동에 의해 옮겨져 핀(59)으로부터 웨이퍼(60)가 들어올려지게 된다. 지지물(48)의 2개의 지지물(48a, 48b)에 웨이퍼(60)들은 HVBE(31)의 암(35)에 의해 연속적으로 옮겨지는 것이 바람직한데, 바람직하게는 지지물(48b) 상의 하측의 웨이퍼(60)가 먼저 옮겨져 그 표면에 상측의 웨이퍼로부터 입자가 떨어질 가능성을 감소시킨다. 로드록(45)으로부터 옮겨질 제 1 웨이퍼(60)는 HVBE(31)의 진공실(33) 내의 홀딩 혹은 버퍼 스테이션(69)(도 3)에 임시로 보관된다. 홀딩 스테이션(69)은 로드록(45)으로부터 진공실(33)로 옮겨진 하나, 두 개 이상의 웨이퍼(60)을 홀딩할 복수의 지지물을 포함할 수 있으며 로드록(45)으로 로딩을 대기하거나 처리 스테이션(34) 등의 또 다른 스테이션으로 이동을 대기하는 가공된 웨이퍼용의 지지물들을 포함할 수 있다.
웨이퍼들이 가동되는 진공처리실(34a-d)에 및 이로부터 웨이퍼를 이송한 후에, 전술한 로딩과정을 역으로 함으로써 가공된 웨이퍼(60)는 AFE(32) 내 원점으로 되돌아 갈 수 있다. 언로딩 과정(즉, 처리실(34)의 진공환경에서 처리된 후 HVBE(31)로부터 그리고 캐리어(25)로 돌려보내기 위해서 AFE(32)로 예를 들면 하나 혹은 2개의 웨이퍼를 이동시키는 과정)에서 로드록(45)의 동작은 로드록(45)을 이송실(33)의 진공압력으로 배기부터 시작하여, 커버(52)를 하강시켜 AFE(32)의 분위기 환경으로부터 로드록실(47)을 기밀하게 하고, 클로져 패널(57)을 하강시켜 로드록(45)을 HVBE(31)의 이송실(33) 내부로 개방시킨다. 이것은 웨이퍼를 HVBE(31)의 진공실(33)로 이송시킨 후에 로드록(45)의 상태일 수 있다. 핀(59)이 상승된 상태에서, HVBE 이송암(35)은 신장하여 웨이퍼(60)을 도 4c에 도시한 바와 같이 지지물(48a, 48b) 중 한 지지물 위에, 이송암(35)의 수평면 내 로드록실(47) 안에 웨이퍼(60)를 중앙에 위치시킨다.
이어서, 암(35)은 약간 하강하여 웨이퍼(60)를 핀(59)의 상면 위에 놓고, 웨이퍼(60)는 암(35)에 의해 해방되고 암(35)은 로드록실(47)로부터 물러난다. 바람직하게, 2개의 웨이퍼는 지지물(48a, 48b) 각각에 하나씩, 연속하여 로드록(45)에 로딩된다. 이어서 클로져 패널(57)이 도 4b에 도시한 바와 같이 이송실(33)의 진공 분위기로부터 로드록실(47)을 밀봉시킬 때까지 승강기(56)가 상승된다. 이어서, 로드록실(47)의 작은 용적이 밸브(39)의 제어된 동작에 의해 AFE(32)의 분위기 환경으로 배기된다. 배기 사이클이 완료되었을 때, 커버(52)가 상승하여 웨이퍼(60)는 도 4a에 도시한 바와 같이 웨이퍼(60) 밑의 AFE 이송암(42)의 이동에 의해 옮겨지고 도 4에 도시한 바와 같이 이송암(42)에 의해 핀(59)으로부터 웨이퍼(60)가 들어올려진다.
HVBE(31)에서 처리된 후 AFE(32) 외부의 주변 분위기에 웨이퍼(60)를 노출하기에 앞서 웨이퍼(60)을 냉각시키는 것이 바람직하다. 이 냉각은 하나 이상이 제공될지라도 단지 하나의 로드록 스테이션에 의해 제공될 필요가 있다. 단일 2-웨이퍼 로드록(37)에 있어서 냉각은 도시된 단일 로드록 실시예에서 각각의 지지물(48a, 48b)에 제공된다. 바람직하게, 로드록(45)엔 스테이션(37)에서 외향 로드록을 배기하는데 걸리는 시간 동안 웨이퍼를 냉각하는데 유효한 냉각능력이 갖추어지므로 냉각에 기인한 수율 손실은 없다. 이것을 달성하기 위해서, 양 지지물(48a, 48b)에 지지물(48)의 상측면은 이 위에 물로 냉각되는 웨이퍼 지지판을 설치하여 냉각되며, 이 지지판은 바람직하게는 복수의, 예를 들면 3개 이상의 작은 융기된 영역(66)으로 설계되며, 이들은 실지로 웨이퍼(60)을 홀딩하고 있던 핀(59)이 지지물(48a 혹은 48b)로 하강되었을 때 웨이퍼(60)를 지지한다. 지지물의 각각의 지지물(48a, 48b) 내에 냉각포트가 설치될 수도 있고, 혹은 지지물에 함께 접속된 열 전도판, 아니면 워터 포트 아니면 능동적으로 냉각되거나 온도제어되는 히트 싱크가 설치될 수도 있다. 융기된 영역(66)의 높이는 직접 전도에 의한 열 전도를 피하고 그럼으로써 웨이퍼의 물리적 클램핑이 제공되어 있지 않아 발생할 수 있을 바람직하지 않은 웨이퍼 휨을 피하기 위해서 냉각속도를 서서히 낮추도록 선택된다. 냉각속도를 조절하기 위해서 로드록(45) 내에 가스의 압력제어는 웨이퍼 수율을 최대화하는 시간 프레임 내에 로드록을 배기할 수 있는 목적을 이루지 못하게 될 것이기 때문에 피한다.
배치 캐리어(25)와 조합하여 단일 2-웨이퍼 로드록(45)을 사용함으로써 전체 용적 및 총 노출 표면적이 도 1에서처럼 완전 웨이퍼 카세트의 크기 및 이를 담은 카세트 크기의 로드록에서 볼 수 있는 것의 소부분으로 감소될 수 있으며, 아울러 비용을 최소화하고 간단하고 신뢰성 있는 효율적으로 패키지화된 구성을 유지한다. 완전 카세트 로드록 대신 단일 2웨이퍼 로드록(45)의 사용으로 소량의 웨이퍼 배치, 예를 들면 품질인증 웨이퍼를 장치(30)로 및 이로부터 옮기는데 필요한 시간을 현저히 감소된다. 더욱이, 배기 및 펌핑 시퀀스 동안, 2웨이퍼 로드록(45)은 참고로 여기 포함시키는 미국특허 제5,205,051호 및 5,237,756호에 기술된 오염 방지 특징을 사용할 수 있게 하므로 입자나 습기 응축에 기인한 오염을 감소시킨다.
장치(30)의 로딩은 조작자에 의해 수행될 수 있으나, 바람직하게는 로봇에 의해 수행되며, 이 로봇은 복수의 미가공 웨이퍼가 로딩되는 예를 들면 12 혹은 13, 또는 24 혹은 26개의 300 mm 웨이퍼의 완전 표준 배치의 캐리어(25)를 도 3 및 4에 도시한 바와 같이 AFE(32)의 로딩 스테이션(70)의 위치에 놓는다. 이어서 이송기구(도시하지 않았으나 화살표 71로 나타낸)는 캐리어(25)의 문(27)이 잠겨있고 개구부(44) 중 한 개구부를 통해 AFE 이송암(42)의 축에 면한 상태에서, 캐리어 스테이션(40) 중 하나, 예를 들면 스테이션(40a)으로 캐리어(25)를 로딩 스테이션(70)으로부터 옮긴다. 그와 같이 배치되면, 캐리어(25)는 적소에 놓여졌을 때 문(27)이 자동으로 열리게 캐리어(25)와 기계식으로 상호작용하는 AFE(32) 내의 로킹 및 언로킹 기구(72)에 의해 맞물린다. 이어서, 기구(72)는 캐리어 문(27)을 캐리어(25)로부터 멀리 이동시킨 후에 하강하고 그럼으로써 캐리어(25)를 열고 선택된 웨이퍼를 이송암(42)에 노출시킨다. 이 상태에서, 캐리어(25)는 AFE(32) 내에 벽(74) 내의 개구부(44) 중 하나를 점유하여 클린룸 환경으로부터 AFE(32) 내부를 느슨하게 격리시키고, 그럼으로써 클린룸 표준을 완화시킴으로써 AFE(32) 내에 입자 격리를 제공하게 된다. 바람직하게는 암(42)은 먼저 캐리어(25)의 최저 위치에 인접하여 수직으로 위치됨으로써 캐리어 내의 맨 밑의 웨이퍼를 먼저 이송시키기 위해 웨이퍼 이송암(42)으로 픽업된다. 이러한 식으로, 캐리어(25)로부터 웨이퍼를 옮김으로써 제거된 캐리어(25) 내 입자는 가공 중에 결함을 야기할 수도 있을 미가공된 웨이퍼의 위로 면하는 표면으로 떨어지지 않게 될 것이다.
암(42)이 바람직하게는 캐리어(25) 내 적층된 웨이퍼의 맨 밑부터 제 1 웨이퍼를 옮기기 위해 캐리어(25)에 인접하여 적합하게 위치하였을 때, 이송암(42)은 캐리어(25)로부터 웨이퍼를 픽업하고, 이를 웨이퍼의 중심 이탈 거리를 측정하는 정렬 스테이션(41)으로 옮겨 웨이퍼를 이송암(42)에 의해 적합한 방위로 놓일 수 있게 한다. 이어서 암(42)은 록드록(37) 내의 지지레벨(48a, 48b) 위에 웨이퍼를 두고, 임의의 측정된 중심 이탈 거리를 보상한다. 일단 로드록(45)에 있게 되면, 웨이퍼(60), 혹은 바람직하게는 한 쌍의 웨이퍼(60)는 전술한 방식으로 AFE(32)에서 HVBE(31)이 옮겨진다. 암(42)은 이송암(42)이 캐리어 스테이션(40a)으로 다음 복귀할 때 픽업되게 하기 위해서 그 다음 밑에 있는 웨이퍼와 정렬되게 수직으로 인덱스한다.
웨이퍼들이 로드록 스테이션(37)에 로드록(45)으로부터 옮겨지고 이송실(33)의 이송암(35)에 의해 처리 스테이션(34)을 통해 순환된 후에, 암(35)은 이송실(33)로 이미 열려있을 때 로드록(34) 내에 웨이퍼를 놓는다. 로드록을 통과한 후, 웨이퍼는 바람직하게는 웨이퍼가 옮겨졌던 동일 캐리어(25) 내 동일 위치에 다시 AFE 이송암(42)에 의해 캐리어 스테이션(40a)의 캐리어(25)로 다시 옮겨진다. 로드록 스테이션(37)에서 캐리어(25)로 옮길 때, 통상은 정렬기 스테이션을 우회한다. 그러나, 캐리어에 삽입하기 전에 앞단에 정렬기가 웨이퍼 재정렬을 고려하는 것은 HVBE(31)에서 후단 처리에 영향을 주지 않는다면 바람직할 것이다. 이 외향 웨이퍼 정렬능력은 로드록 배기 사이클과 냉각 동안에 입자문제를 증가시킬 수도 있을 웨이퍼 캐리어(25)의 내벽을 따다 끌릴 수도 있을 지점까지 웨이퍼가 오정렬된다면 바람직할 수 있다. 캐리어(25) 내 모든 웨이퍼가 처리되었을 때, 캐리어(25)의 문(27)이 닫혀지고 록킹 및 언로킹 기구(72)가 비접속된다. 이어서, 캐리어(25)는 조작자 혹은 로봇이 캐리어를 옮길 수 있는 로딩 스테이션(70)으로 옮겨진다.
캐리어 스테이션(40)에 캐리어(25)에 및 이로부터 웨이퍼를 로딩 및 언로딩하는 중에, 캐리어(25)는 다른 스테이션(40)으로부터 옮겨져서 또 다른 웨이퍼 캐리어(25)로 대치되어 HVBE(31)를 통해 순환될 수 있다. 이러한 것과 AFE실 내에 모든 동작중에, AFE(32)에서 바람직하게는 수평으로 횡방향으로 이동하는 필터링된 에어가 엷게 흐름으로써 입자 오염 위험이 감소된다. 이 기술에 숙련된 자에게 만족스러운 결과를 줄 엷은 흐름을 제공하는데 효과적인 임의의 구조(송풍기(75) 및 필터(76)로 나타낸)를 받아들일 수 있다.
위에 개괄된 AFE(32)의 앞단 형상은 예를 들면 웨이퍼 정렬기에 의해 점유된, 재배치될 수 있는 위치에 필요하다면 제 3 웨이퍼 캐리어 스테이션(40)의 추가를 고려한 것이다.
로드록(45)의 바람직한 형상의 잇점은 로드록(45)의 용적을 실현가능한 한 작게, 바람직하게는 많아야 약 6 내지 8리터, 바람직하게는 단지 약 4.5 내지 5리터가 되게 형상화함으로써 가장 효과적으로 실현된다. 로드록실(47)의 용적은 도 4-4c에 과장되어 도시되었는데, 실의 커버(52)의 하측면은 상승되었을 때 핀(59) 상에 지지된 웨이퍼로부터 2천 내지 3천 인치 내에 놓이도록 형상화될 수 있다. 마찬가지로, 핀의 상승위치에서 이 핀(59)의 높이 역시 도면에는 과장되어 도시되었는데, 그 높이는 이송암(35, 42)에 및 이로부터 이송되게 하고 이송중 상승된 표면(66)과의 유극일 정도이면 된다. 또한, 지지물(48a, 48b)의 두께 및 간격은 바람직한 것보다 크게 도시되어 있다. 지지물(48a, 48b)의 상측 지지표면은 대략 1인치 떨어져 있을 수 있다. 핀(59)은 함께 조를 이루어 내향으로 돌출한 탭을 갖게 함으로서 이들이 동시에 두 개의 웨이퍼를 들 수 있게 할 수 있다.
바람직하게, 로드록실(47)은 평탄하다. 즉, 낮은 수직 측면을 가지며, 평면에서는 둥글거나 적어도 거의 둥글게 되어 있어 낭비되는 용적을 최소화하고 그럼으로써 고속 펌핑 및 배기를 보다 쉽게 달성할 수 있게 한다. 더욱이, 바람직하게 이러한 형상 상 하로 로드록(45)의 수직 이송방향에 의해 보다 강한 저 용적의 록 구조가 제공된다. 이러한 형상에 의해서 록은 HVBE(31)의 구조벽에 맞게 만들어 질 수 있어 로드록(45)에 진공펌프 장착 및 연결이 최소한의 진동으로 되게 할 수 있다. 예열 및 가스제거 공정 등 로드록(45) 내 시간 혹은 공간 소비공정의 배치를 피하는 것은 로드록이 수율제한이 되게 하는 것을 피한다. 상하 배치형 진동영향 지역을 작게 유지하면서 낮은 진동을 제공한다.
도 3에 도시한 단일 로딩 스테이션(70)은 사용자가 캐리어(25)를 운반하고 로딩 스테이션(7), 혹은 사전에 배치된 트랙으로부터 취하는데 사용하는 캐리어 운반 시스템의 설계 및 사용을 용이하게 한다. 더욱이, 투입되는 미가공 웨이퍼 캐리어 등 하나 상의 캐리어(25)를 임시로 둘, 화살표 71로 도시한 경로를 따라 하나 이상의 버퍼 위치(78)를 정할 수 있다. 이것은 장치(10)와 스테이션(70)에 단일 캐리어 핸들러간 캐리어(25)의 교환을 용이하게 한다. 예를 들면, 스테이션(40a, 40b) 각각에 캐리어(25)에 있어서, 미가공된 웨이퍼의 캐리어(25)는 로딩 스테이션(70)으로 운반될 수 있고 이어서 스테이션(40a) 쪽으로 이동되고, 여기서 캐리어는 도면에서 스테이션(60)의 좌측에, 화살표(71)의 아치형 경로를 따른 위치에 놓여질 수 있다. 이어서 캐리어(25)는 스테이션(40b)에서 로봇에 의해 옮겨지는 스테이션(70)으로 이송될 수 있고 스테이션(70)의 좌측에 놓여진 들어오는 캐리어는 위치(40b)로 이송될 수 있다. 다른 조합의 이동들이 추가된 버퍼 스테이션(78)과 더불어 제공될 수 있다.
상기 기술된 특징, 목적 및 잇점은 도 6 및 도 7에 도시한 본 발명의 대안 실시예에 의해 실현되며, 이들 도면에서 AFE(32a)의 대안과 결합한 HVBE(31a)의 대안이 제공되어 있는데, 이들은 수평 관통 슬릿 밸브가 장착된 로드록(37a)를 통해 상호접속된다. HVBE(31a)는 6개의 모듈(34a-34f)로서 도시된 다수의 처리실(34), 및 버퍼 혹은 홀딩 스테이션(69a)가 접속된 이송실(33a)를 포함한다. 모듈(34a)은 예를 들면 가스제거 모듈일 수 있다. 홀딩 스테이션(69a)은 내부 실이 이송실(33a)의 연장이고 이 이송실과 동일한 압력 및 분위기가 되게 예를 들면 격리밸브가 없는 2위치 동작 버퍼일 수 있다. 이송실(33a)은 처리 모듈(34)간에 개별적으로 그리고 로드록 스테이션(37a)에 및 이로부터 웨이퍼를 옮기기 위해 수직축(36)에 장착된 선회 및 연장가능한 웨이퍼 이송암(35)을 갖는다.
로드록 스테이션(37a)에 용적이 작은 로드록실(47a)를 안에 구비한 로드록(45a)이 장치되어 있다. 로드록실(47a)은 통상의 슬릿 밸브(91, 92)를 통해 AFE(32a)의 분위기 및 HVBE(31a)의 진공을 각각 전한다. 로드록(45a)엔 도 3의 실시예의 요소(46, 39)와 같이 기능하는 진공펌프(46a) 및 대기 배기 포트(39a)가 구비된다. 로드록(45a)의 로드록실(47a) 내에는 전술한 도 3의 실시예와 유사한 동작 시퀀스에 따라, AFE(32a)에서 HVBE(31a)로 혹은 HVBE(31a)에서 AVE(32a)로 각각 이송하기 위해서 각각의 진공 혹은 대기압 조건으로 펌핑 혹은 배기하기 위해서 2개의 웨이퍼를 놓아 둘 수 있는 한 쌍의 수직으로 이격된 지지물(148a, 148b)를 구비한 2레벨 지지물(148)이 설치된다. 지지물(148a, 148b) 모두는 바람직하게는 지지물(148a, 148b)에 냉각요소(미도시)에서 멀리, 혹은 이에 접촉되게 웨이퍼를 이동시키는 리프트 핀(미도시) 혹은 다른 승강 구조물을 포함한다.
상기 상세히 기술한 두 실시예 외의 다른 실시예를 구성할 수 있다. 이 기술에 숙련된 자들은 본 발명의 응용들을 변경하고 본 발명은 주로 바람직한 실시예로 기술되었음을 알 것이다. 따라서, 본 발명의 원리에서 벗어나지 않고 부가 및 수정을 행할 수 있다.

Claims (23)

  1. 복수-웨이퍼 캐리어들과 웨이퍼 처리 클러스터 툴의 이송실의 고진공 환경간에 웨이퍼를 이송하는 방법에 있어서,
    상기 툴의 대기압의 앞단(atmospheric front end)에 놓인 로봇 이송장치에 인접하여 클린 대기 환경과 연통되게 제 1 복수-웨이퍼 캐리어를 배치하는 단계;
    상기 로봇 이송장치를 사용하여 제 1 캐리어로부터 제 1 및 제 2 웨이퍼를, 내향(inbound) 로드록으로서 동작하며 대기 환경으로 열려있고 이송실의 고진공 환경으로부터 밀봉된 2-웨이퍼 로드록에 연속적으로 이송하는 단계;
    상기 대기환경으로부터 상기 로드록을 밀봉시키는 단계;
    상기 로드록을 진공압력레벨로 펌핑하는 단계;
    상기 로드록을 상기 이송실의 고진공 환경에 개방시키는 단계;
    상기 이송실 내에 위치한 이송암을 사용하여 상기 로드록으로부터 상기 제 1 및 제 2 웨이퍼를 연속적으로 옮겨, 하나 이상의 진공처리실이 고진공 환경과 연통되어 있을 때 상기 제 1 및 제 2 웨이퍼를 상기 진공처리실에 두는 단계;
    하나 이상의 진공처리실이 상기 이송실의 고진공 환경과 연통하여 있을 때 상기 진공처리실로부터 상기 제 1 및 제 2 웨이퍼를 상기 이송실 내에 있는 이송암을 사용하여 연속적으로 옮겨, 상기 제 1 및 제 2 웨이퍼를, 외향(outbound) 로드록으로서 작용하며 고진공 환경에 개방되어 있고 상기 툴의 앞단의 대기환경으로부터 밀봉된 2-웨이퍼 로드록에 두는 단계;
    상기 이송실의 고진공 환경으로부터 상기 외향 로드록을 밀봉시키는 단계;
    상기 툴의 앞단의 대기환경의 압력레벨로 상기 외향 로드록을 배기하는 단계;
    상기 앞단의 대기환경에 상기 외향 로드록을 개방시키는 단계; 및
    상기 외향 로드록에서 캐리어로 상기 제 1 및 제 2 웨이퍼를 연속적으로 이송하는 단계를 포함하는 웨이퍼 이송방법.
  2. 제 1 항에 있어서, 외향 로드록으로서 작용하는 상기 2-웨이퍼 로드록은 상기 내향 로드록으로서 동작하는 동일한 로드록이며;
    상기 제 1 및 제 2 웨이퍼를 상기 2-웨이퍼 로드록에 두고, 상기 외향 로드록을 밀봉시키며, 상기 외향 로드록을 배기하고, 상기 외향 로드록을 열고 상기 제 1 및 제 2 웨이퍼를 상기 외향 로드록으로 이송시키는 상기 단계들은 상기 내향 로드록으로서 사용된 것과 동일한 로드록으로부터 상기한 바와 같이 상기 웨이퍼들을 두고, 밀봉시키고, 배기하며, 열고, 이송시키는 단계들을 포함하는 웨이퍼 이송방법.
  3. 제 1 항에 있어서, 상기 진공 이송실 환경에서 상기 로드록으로부터 웨이퍼를 옮기는 단계는 상기 제 1 웨이퍼를 상기 로드록으로부터 진공 이송실 환경 내 홀딩위치로 옮기는 단계 및 이어서 상기 로드록으로부터 웨이퍼를 옮기는 단계를 포함하는 웨이퍼 이송방법.
  4. 제 1 항에 있어서, 상기 외향 로드록 배기단계 중에 상기 로드록 내 웨이퍼를 능동적으로 냉각시키는 단계를 더 포함하는 웨이퍼 이송방법.
  5. 제 1 항에 있어서, 상기 대기압의 앞단 환경에서 상기 로봇 이송장치를 사용하여 웨이퍼를 이동시키고 고진공 이송실 환경에서 이송암을 사용하여 웨이퍼를 옮기는 단계를 더 포함하며, 상기 두 단계들은 로드록이 상기 대기압 앞단 환경과 고진공 이송실 환경에 대해 밀봉되어 있을 때 일어나는 웨이퍼 이송방법.
  6. 제 1 항에 있어서, 대기압 앞단 환경과 연통되게 제 3 캐리어로부터 웨이퍼들을 상기 로봇 이송장치에 의해 로드록에 및 이로부터 이송되고 있는 동안에, 대기압 앞단 환경의 로봇 이송장치에 인접한 제 1 복수-웨이퍼 캐리어를 옮겨 상기 로봇 이송장치에 인접한 대기압 앞단과 연통되게 제 2 캐리어를 배치함으로써 상기 제 1 캐리어를 상기 제 2 복수-웨이퍼 캐리어를 대치시키는 단계를 더 포함하는 웨이퍼 이송방법.
  7. 제 1 항에 있어서, 상기 처리단계들은 수평 방위로 놓인 웨이퍼들에 수행되며;
    상기 로드록에 웨이퍼를 이송하고 이 로드록으로부터 웨이퍼를 옮기는 것은 웨이퍼를 수평으로 이동시켜 수행되며;
    상기 웨이퍼 이송방법은 웨이퍼들이 로드록 내에 있을 때 수직으로 웨이퍼들을 옮기는 단계를 더 포함하는 웨이퍼 이송방법.
  8. 제 1 항에 있어서, 상기 처리단계들은 수평 방위로 놓인 웨이퍼들에 수행되며;
    상기 로드록에 웨이퍼를 이송하고 고진공 환경에서 일어나는 상기 로드록으로부터 웨이퍼를 처리실에 및 이로부터 옮기는 것은 웨이퍼를 제 1 수평면에서 수평으로 이동시켜 수행되며;
    대기압 환경에서 일어나는 로드록에 및 이로부터 웨이퍼의 이송 및 웨이퍼를 옮기는 것은 상기 제 1 수평면에서 수직으로 이격된 제 2 수평면에서 수평으로 이동시켜 수행되며;
    상기 웨이퍼 이송방법은 웨이퍼들이 각각의 로드록 내에 있을 때 상기 제 1 수평면과 상기 제 2 수평면 간에 수직으로 웨이퍼들을 옮기는 단계를 더 포함하는 웨이퍼 이송방법.
  9. 제 1 항에 있어서, 상기 제 1 캐리어로부터 상기 로드록으로 웨이퍼를 이송시키는 단계는 대기압 환경의 정렬 스테이션에 및 이로부터 상기 웨이퍼를 이송시키는 단계를 포함하는 웨이퍼 이송방법.
  10. 툴의 대기압 앞단에 놓인 로봇 이송장치에 인접하여 클린 대기 환경과 연통되게 제 1 캐리어를 배치하는 단계;
    상기 로봇 이송장치를 사용하여 제 1 캐리어로부터 2개의 웨이퍼를, 대기압 앞단의 환경으로 열려있고 이송실의 고진공 환경으로부터 밀봉된 2-웨이퍼 로드록에 연속적으로 이송하는 단계;
    상기 대기압 앞단의 환경으로부터 상기 로드록을 밀봉시키는 단계;
    상기 로드록을 진공압력레벨로 펌핑하는 단계;
    상기 로드록을 상기 이송실의 고진공 환경에 개방시키는 단계;
    상기 이송실 내에 위치한 이송암을 사용하여 상기 로드록으로부터 상기 웨이퍼를 연속적으로 옮겨, 진공처리실이 고진공 이송실 환경과 연통되어 있을 때 상기 웨이퍼를 상기 진공처리실에 두는 단계;
    상기 웨이퍼를 상기 처리실에서 처리하는 단계;
    진공처리실이 상기 이송실의 고진공 환경과 연통하여 있을 때 상기 진공처리실로부터 상기 웨이퍼를 이송암을 사용하여 연속적으로 옮겨, 상기 웨이퍼를 고진공 이송실 환경에 개방되어 있고 상기 대기압 앞단의 환경으로부터 밀봉된 2-웨이퍼 로드록에 두는 단계;
    상기 이송실의 고진공 환경으로부터 상기 로드록을 밀봉시키는 단계;
    상기 대기압 앞단의 환경에 근사한 압력레벨로 상기 로드록을 배기하는 단계;
    상기 앞단의 대기환경에 상기 로드록을 개방시키는 단계; 및
    상기 로드록에서 캐리어로 상기 웨이퍼를 상기 로드의 대기압 앞단에 로봇 이송장치를 사용하여 연속적으로 이송하는 단계를 포함하는 반도체 웨이퍼 제조방법.
  11. 웨이퍼를 개별적으로 로딩 및 언로딩하기 위한 포트를 갖는 복수의 진공 처리실;
    상기 처리실의 포트들과 연락할 수 있는 복수의 포트를 구비한 고진공 이송실;
    적어도 하나의 캐리어 로딩 및 언로딩 스테이션을 구비한 대기압 앞단 실;
    상기 고진공 이송실과 상기 대기입 앞단 실간 액세스 실을 형성하는 2-웨이퍼 로드록실을 포함하고, 상기 로드록은 2개의 웨이퍼를 보유할 수 있으며, 상기 로드록실 및 상기 고진공 이송실을 접속하도록 선택적으로 열릴 수 있는 진공측 클로져(closure)를 구비하고, 상기 로드록 및 상기 대기압 앞단 실을 접속하도록 선택적으로 열릴 수 있는 대기압측 클러져를 구비하며;
    상기 앞단 실에 있고 각각이 복수-웨이퍼 캐리어를 지지하도록 구성된 복수의 캐리어 스테이션;
    상기 처리실 및 로드록 각각에 및 이로부터 개개의 웨이퍼를 이들간에 연속적으로 이송하도록 이동일 수 있는 단일 웨이퍼 맞물림 요소를 구비한 것으로 상기 고진공 이송실 내에 있는 이송암; 및
    개개의 웨이퍼를 상기 로드록 및 상기 캐리어들간에 연속적으로 이송하도록 이들 간에 이동할 수 있는 상기 앞단 실 내의 개별 웨이퍼 이송장치를 포함하는 고진공 웨이퍼 처리장치.
  12. 제 11 항에 있어서, 상기 앞단 실 내의 웨이퍼 정렬기를 더 포함하며, 상기 웨이퍼 이송장치는 또한 상기 웨이퍼 정렬기에 및 이로부터 이동할 수 있는 고진공 웨이퍼 처리장치.
  13. 제 11 항에 있어서, 상기 로드록 배기 중에 2개의 웨이퍼로부터 열을 이들 각각의 웨이퍼 냉각기로 전달하도록 놓인 상기 로드록 내에 있는 2개의 웨이퍼 냉각기를 더 포함하는 고진공 웨이퍼 처리장치.
  14. 제 13 항에 있어서, 상기 로드록은 각각이 상기 웨이퍼 냉각기를 구비한 2개의 상향 웨이퍼 지지물의 표면을 포함하며;
    각각의 상기 웨이퍼 지지물은 각각의 지지물과 이송암 혹은 이송장치간에 웨이퍼를 수수하도록 놓인 융기된 위치 및 각각의 지지물 표면과 웨이퍼가 접촉하는 하강된 위치를 갖는 한 세트의 적어도 3개의 리프트 핀을 포함하는 고진공 웨이퍼 처리장치.
  15. 제 11 항에 있어서, 상기 로드록은 진공 이송위치와 대기압 위송위치간에 이동할 수 있는 웨이퍼 승강기를 포함하며;
    상기 이송암, 상기 처리실의 포트 및 상기 로드록의 진공 이송 위치는 공통의 수평면 내에 배치된 고진공 웨이퍼 처리장치.
  16. 제 11 항에 있어서, 상기 로드록은 진공 이송위치와 대기압 위송위치간에 이동할 수 있는 웨이퍼 승강기를 포함하며;
    상기 이송장치는 상기 로드록의 대기입 이송위치를 포함하는 수평면에서 수평으로 이동할 수 있는 고진공 웨이퍼 처리장치.
  17. 제 11 항에 있어서, 상기 로드록은 진공 이송위치와 대기압 위송위치간에 이동할 수 있는 웨이퍼 승강기를 포함하며;
    상기 이송암, 상기 처리실의 포트 및 상기 로드록의 진공 이송 위치는 제 1 수평면 내에 배치되고,
    상기 이송장치는 상기 로드록의 대기압 이송위치를 포함하는 제 2 수평면에서 수평으로 이동할 수 있는 고진공 웨이퍼 처리장치.
  18. 제 17 항에 있어서, 상기 캐리어는 수직 스택에 수직으로 이격된 복수의 웨이퍼 수용 위치들을 구비하고,
    상기 캐리어 스테이션 각각은 상기 복수의 웨이퍼 수용 위치 중 선택된 것을 상기 제 2 수평면에 이동시키도록 동작하는 캐리어 승강기를 포함하며;
    상기 이송장치는 상기 로드록의 대기압 이송위치와 상기 수용위치 중 선택된 것간에 제 2 수평면에서 수평으로 이동가능한 고진공 웨이퍼 처리장치.
  19. 제 17 항에 있어서, 상기 캐리어는 수직 스택에 수직으로 이격된 복수의 웨이퍼 수용 위치들을 구비하고,
    상기 이송장치는 상기 수용위치 중 선택된 위치의 레벨에 상기 제 2 수평면간에 수직으로 이동할 수 있는 고진공 웨이퍼 처리장치.
  20. 제 11 항에 있어서, 상기 대기압 앞단 및 고진공 이송실에 대해 닫혀졌을 때 로드록실은 복수의 웨이퍼 캐리어를 수용하는데 필요한 용적보다 작은 배기가능 용적을 둘러싸는 고진공 웨이퍼 처리장치.
  21. 제 11 항에 있어서, 상기 2-웨이퍼 로드록실은 상기 웨이퍼 지지 평면에 수직한 방향으로 상기 로드록실에 및 이로부터 웨이퍼들을 이송하도록 구성된 클러저를 갖고, 이격된 나란한 웨이퍼 지지물 평면으로 웨이퍼를 지지하도록 구성되어, 이에 의해 상기 웨이퍼 지지 평면은 수평이며, 상기 로드록실은 상하 배치(over-under) 형인 고진공 웨이퍼 처리장치.
  22. 제 11 항에 있어서, 상기 장치는 복수의 진공처리실과, 외부환경으로부터 후단부 내에 진공환경을 격리시키는 벽들로 경계를 이룬 고진공 이송실을 포함하는 상기 고진공 후단부를 포함하며,
    상기 로드록실은 벽의 진공실측에 하나의 클러져와 진공환경에 대향하는 벽측에 하나의 클러저를 갖는 후단부의 벽 내에 장착된 고진공 웨이퍼 처리장치.
  23. 제 11 항에 있어서, 상기 장치는 2개 및 단지 두 개의 웨이퍼를 동시에 지지하도록 구성된 단일의 로드록을 포함하는 고진공 웨이퍼 처리장치.
KR1020007007643A 1998-01-12 1999-01-12 2-웨이퍼 로드록 웨이퍼 처리 장치 및 그 로딩 및 언로딩 방법 KR100354205B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/005,862 1998-01-12
US09/005,862 US6042623A (en) 1998-01-12 1998-01-12 Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
PCT/US1999/000609 WO1999035673A1 (en) 1998-01-12 1999-01-12 Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor

Publications (2)

Publication Number Publication Date
KR20010034036A true KR20010034036A (ko) 2001-04-25
KR100354205B1 KR100354205B1 (ko) 2002-09-26

Family

ID=21718117

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007007643A KR100354205B1 (ko) 1998-01-12 1999-01-12 2-웨이퍼 로드록 웨이퍼 처리 장치 및 그 로딩 및 언로딩 방법

Country Status (7)

Country Link
US (1) US6042623A (ko)
EP (1) EP1048059B1 (ko)
JP (1) JP3447698B2 (ko)
KR (1) KR100354205B1 (ko)
DE (1) DE69934668T2 (ko)
TW (1) TW406285B (ko)
WO (1) WO1999035673A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101522324B1 (ko) * 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
KR100296651B1 (ko) * 1998-07-09 2001-10-26 윤종용 반도체진공설비및이를이용하는방법
US6350321B1 (en) * 1998-12-08 2002-02-26 International Business Machines Corporation UHV horizontal hot wall cluster CVD/growth design
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6309161B1 (en) * 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6609877B1 (en) * 2000-10-04 2003-08-26 The Boc Group, Inc. Vacuum chamber load lock structure and article transport mechanism
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US6575186B2 (en) 2001-01-16 2003-06-10 Chartered Semiconductor Manufacturing Ltd. Multiple speed slit valve controller
KR20020071393A (ko) * 2001-03-06 2002-09-12 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
KR20020073931A (ko) * 2001-03-17 2002-09-28 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
KR20020076039A (ko) * 2001-03-27 2002-10-09 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US6585470B2 (en) 2001-06-19 2003-07-01 Brooks Automation, Inc. System for transporting substrates
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
JP3686866B2 (ja) * 2001-12-18 2005-08-24 株式会社日立製作所 半導体製造装置及び製造方法
JP3660626B2 (ja) 2002-01-15 2005-06-15 株式会社野田スクリーン 真空印刷装置
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
EP1506570A1 (en) 2002-05-21 2005-02-16 ASM America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool
US6854948B1 (en) 2002-08-15 2005-02-15 Nanometrics Incorporated Stage with two substrate buffer station
US6696367B1 (en) 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8029226B2 (en) 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
KR101317995B1 (ko) * 2004-05-14 2013-10-14 페로텍 (유에스에이) 코포레이션 물품 처리 방법 및 장치
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
WO2006004718A1 (en) * 2004-06-28 2006-01-12 Brooks Automation, Inc. Non productive wafer buffer module for substrate processing apparatus
US20090304914A1 (en) * 2006-08-30 2009-12-10 Lam Research Corporation Self assembled monolayer for improving adhesion between copper and barrier layer
US7578650B2 (en) * 2004-07-29 2009-08-25 Kla-Tencor Technologies Corporation Quick swap load port
KR100615091B1 (ko) * 2004-08-16 2006-08-23 삼성전자주식회사 슬릿밸브 제어시스템 및 그 제어방법
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
JP4599405B2 (ja) * 2004-08-17 2010-12-15 マットソン テクノロジイ インコーポレイテッド ウェハ処理システムのための、ウェハ移送装置及びウェハ移送方法
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US7604449B1 (en) * 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20080067368A1 (en) * 2006-04-19 2008-03-20 Mks Instruments Inc Ionizing system for vacuum process and metrology equipment
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080019806A1 (en) * 2006-07-24 2008-01-24 Nyi Oo Myo Small footprint modular processing system
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
US8562271B2 (en) * 2007-05-18 2013-10-22 Brooks Automation, Inc. Compact substrate transport system
JP5108557B2 (ja) * 2008-02-27 2012-12-26 東京エレクトロン株式会社 ロードロック装置および基板冷却方法
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
JP2011091160A (ja) * 2009-10-21 2011-05-06 Ulvac Japan Ltd 基板搬送装置及び基板処理装置
JP5952526B2 (ja) * 2011-02-04 2016-07-13 株式会社ダイヘン ワーク搬送システム
KR101713629B1 (ko) * 2011-11-25 2017-03-09 주식회사 원익아이피에스 기판처리시스템 및 그에 사용되는 반송모듈
KR101713630B1 (ko) * 2011-11-25 2017-03-09 주식회사 원익아이피에스 기판처리시스템 및 그에 사용되는 반송모듈
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US10007198B2 (en) * 2015-09-23 2018-06-26 Globalfoundries Inc. Method including an adjustment of a plurality of wafer handling elements, system including a plurality of wafer handling elements and photolithography track
DE102017103468A1 (de) * 2017-02-21 2018-08-23 Mecora Medizintechnik Gmbh Raum und Verfahren zum Herstellen eines Raums
JP6863784B2 (ja) * 2017-03-16 2021-04-21 株式会社Screenホールディングス 基板処理装置
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102598402B1 (ko) * 2018-07-24 2023-11-06 현대자동차 주식회사 기어 검사장치 및 이를 이용한 기어 검사방법
JP7246256B2 (ja) * 2019-05-29 2023-03-27 東京エレクトロン株式会社 搬送方法及び搬送システム

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
JPS63204726A (ja) * 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JPH03241853A (ja) * 1990-02-20 1991-10-29 Teru Barian Kk 処理装置
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
JP3186262B2 (ja) * 1992-10-14 2001-07-11 ソニー株式会社 半導体装置の製造方法
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
DE69323716T2 (de) * 1993-01-28 1999-08-19 Applied Materials Inc Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
US5638687A (en) * 1994-11-21 1997-06-17 Dainippon Screen Mfg. Co., Ltd. Substrate cooling method and apparatus
TW359849B (en) * 1994-12-08 1999-06-01 Tokyo Electron Ltd Sputtering apparatus having an on board service module
US5520002A (en) * 1995-02-01 1996-05-28 Sony Corporation High speed pump for a processing vacuum chamber
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101522324B1 (ko) * 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트

Also Published As

Publication number Publication date
US6042623A (en) 2000-03-28
TW406285B (en) 2000-09-21
DE69934668D1 (de) 2007-02-15
KR100354205B1 (ko) 2002-09-26
JP2002501303A (ja) 2002-01-15
EP1048059B1 (en) 2007-01-03
EP1048059A1 (en) 2000-11-02
WO1999035673A1 (en) 1999-07-15
WO1999035673B1 (en) 1999-09-10
DE69934668T2 (de) 2007-10-18
JP3447698B2 (ja) 2003-09-16

Similar Documents

Publication Publication Date Title
KR20010034036A (ko) 2-웨이퍼 로드록 웨이퍼 처리장치 및 그 로딩 및 언로딩방법
US5944857A (en) Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6530732B1 (en) Single substrate load lock with offset cool module and buffer chamber
TWI495031B (zh) 傳送室設計及使用傳送室方法
US6802934B2 (en) Processing apparatus
KR20010020944A (ko) 외부 스테이징 영역을 가진 로드록
JP2003077974A (ja) 基板処理装置および半導体装置の製造方法
US20030077150A1 (en) Substrate processing apparatus and a method for fabricating a semiconductor device by using same
KR102592920B1 (ko) 로드락 모듈 및 이를 포함하는 반도체 제조 장치
EP1774574A1 (en) System for handling of wafers within a process tool
JP3522796B2 (ja) 半導体製造装置
JP5164416B2 (ja) 基板処理装置、収納容器の搬送方法および半導体装置の製造方法
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
JP2004119627A (ja) 半導体製造装置
JP2004080053A (ja) 半導体製造装置
JP2000323549A (ja) 真空処理装置
JP2004023032A (ja) 半導体製造装置
JP2023040996A (ja) Efemを含むウェーハ処理装置及びウェーハ処理方法
JP2006134901A (ja) 基板処理装置
JP2005093928A (ja) 基板処理装置
JP2002043389A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110811

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20120821

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee