KR19990029069A - 대형 기판의 플라즈마 처리용 장치 - Google Patents

대형 기판의 플라즈마 처리용 장치 Download PDF

Info

Publication number
KR19990029069A
KR19990029069A KR1019980700373A KR19980700373A KR19990029069A KR 19990029069 A KR19990029069 A KR 19990029069A KR 1019980700373 A KR1019980700373 A KR 1019980700373A KR 19980700373 A KR19980700373 A KR 19980700373A KR 19990029069 A KR19990029069 A KR 19990029069A
Authority
KR
South Korea
Prior art keywords
plasma
shield
sources
hole
housing
Prior art date
Application number
KR1019980700373A
Other languages
English (en)
Inventor
청 찬
Original Assignee
프랑소와 요셉 헨리
실리콘 제너시스 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 프랑소와 요셉 헨리, 실리콘 제너시스 코포레이션 filed Critical 프랑소와 요셉 헨리
Publication of KR19990029069A publication Critical patent/KR19990029069A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

대형 기판을 처리하기 위한 플라즈마 장치(10)가 개시된다. 한 실시예에서, 장치는 처리 챔버(14)의 rf 투명 창문부(26)에 해체 가능하게 부착되어 있는 다수의 고주파(rf) 플라즈마 소스(40)를 포함하고 있다. 소스의 개수와 분포는 기판을 처리하기 위해 필요한 플라즈마 필드의 크기와 균일성을 제공하도록 다양하다. 랭뮤 탐침, 패러데이 컵 및 광학 센서와 같은 다수의 플라즈마 탐침(74)은 챔버 내부에 위치되며, 플라즈마 소스와 전기적으로 연통하여 바람직한 정도의 필드 균일성을 유지하도록 개개의 소스에 의해 생성되는 rf 필드를 조절한다.

Description

대형 기판의 플라즈마 처리용 장치
편평한 패널 디스플레이 또는 300 mm 실리콘 웨이퍼를 제조하는데 사용되는 유리 또는 반도체 기판과 같은 대형 기판의 플라즈마 처리는 소형 기판의 처리 공정에서 발생하지 않는 일련의 문제들을 각각 발생시킨다. 한 문제점은 대형 기판을 처리하는데 충분한 영역의 플라즈마를 간단하게 발생시키는 것이다. 다른 문제점은 그러한 넓은 영역에 걸쳐 플라즈마 밀도와 화학적 성질을 균일하게 유지하는 것이다.
유도 결합 플라즈마 소스(ICP) 또는 트랜스포머 결합 플라즈마 소스(TPC)를 사용하는 것은 유도 코일 안테나 설계를 이용하여 플라즈마의 균일성을 유지하는데 따르는 어려움과 처리 챔버 내로 안테나 방사선을 연결하기 위한 크고 두꺼운 석영 창문부를 필요로 하는 장치를 제조하고 유지하는 비용에 영향을 받는다. 그러한 크고 두꺼운 석영 창문부를 사용하는 것은 창문부 내에서의 열 소멸에 기인한 rf 전력의 증가(및 효율의 감소)로 귀결된다.
전자 싸이클로트론 공명(Electron Cyclotron Resonance, ECR) 및 헬리콘식 소스의 사용은 단일 안테나 또는 도파관이 사용되는 경우 큰 영역에 공명 자기장을 스케일링하는데 따르는 어려움 때문에 제한된다. 더욱이, 대부분의 ECR 소스는 보다 고가이며 전기적 동조가 어려운 극초단파 전력을 사용한다. 고열 캐쏘드 플라즈마 소스를 사용하는 것은 음극 재료의 증발에 기인한 플라즈마 환경의 오염으로 귀결되는 한편, 저열 캐쏘드 소스는 발생된 플라즈마에 대한 저열 캐쏘드의 노출에 기인한 오염으로 귀결된다.
본 발명은 종래의 대형 플라즈마 처리 장치에 의해 발생되는 상기 문제점들을 극복한다.
본 발명은 기판을 플라즈마 처리하기 위한 장치, 특히 대형 기판을 플라즈마 처리하기 위한 rf 장치에 관한 것이다.
도 1은 본 발명에 따른 플라즈마 처리 장치의 한 실시예의 블록선도이고,
도 2는 소형 플라즈마 소스를 사용하여 대형 플라즈마 범위를 제공하는 소스의 형상에 대한 평면도이고,
도 3은 내장 패러데이 컵을 갖춘 웨이퍼 홀더의 한 실시예의 평면도이고,
도 3a는 매립 패러데이 컵을 갖춘 Si 실험 웨이퍼의 한 실시예의 평면도이고,
도 4는 볼륨 소스로 형성된 본 발명에 따른 한 실시예의 사시도이고,
도 5는 통합 가스 공급부를 갖춘 본 발명에 따른 플라즈마 소스의 한 실시예의 사시도이고,
도 6은 도 5에 도시된 다수의 플라즈마 소스를 사용하는 연속 플라즈마 처리 장치에 대한 한 실시예의 사시도이고,
도 7은 두 개의 플라즈마 소스를 이용하는 장치의 개략적인 선도이고,
도 8은 두 개의 소스 장치 내의 ECR 플라즈마 발생을 위한 표면 자석의 배열에 대한 한 실시예를 도시한 도면이고, 그리고
도 8a는 ECR 플라즈마 발생을 위한 표면 자석의 배열에 대한 다른 실시예를 도시한 도면이다.
* 도면의 주요 부분에 대한 부호의 설명 *
10 : 장치 14 : 진공 챔버
18 : 진공 포트 26 : 유전체 창문부
30 : O-링 40 : rf 플라즈마 소스
44 : 외부 차폐물 46 : 안테나
50 : 정합 네트워크 54 : 연결 축전기
58 : 동조 축전기 62 : 제어기
66 : rf 발생기 70 : 센서
74 : 랭뮤 탐침 78 : 페러데이 컵
82 : 기판 웨이퍼 홀더 90 : 웨이퍼
100 : 석영 창문부 104 : 튜브
108 : 개구부 112 : 컨베이어
116 : 배플 125 : 유도자
130, 135 : 가변 축전기 140, 145, 150 : 자석
본 발명은 어떠한 크기의 균일 플라즈마도 제조할 수 있는 용이하게 측정 및 유지 가능한 장치에 관한 것이다. 한 실시예에서 다수의 rf 플라즈마 소스는 진공 처리 챔버의 유리 또는 석영과 같은 유전체 창문부에 제거 가능하게 부착되는 한편, 다른 실시예에서는 다수의 소스 각각이 자체 창문부를 포함하며 챔버에 부착된다. 챔버 내의 플라즈마 측정 탐침은 플라즈마 균일성에 대한 정보를 제공하며 그러한 정보는 바람직한 균일성을 유지하도록 rf 플라즈마 소스 각각에 인가되는 rf 에너지를 제어하는데 사용된다. 한 실시예에서, 플라즈마 측정 탐침은 랭뮤 탐침(Langmuir probes)이다. 다른 실시예에서, 탐침은 패러데이 컵(Faraday cups)이다. 또 다른 실시예에서, 탐침은 광학 탐침이다.
다른 실시예에서, 플라즈마 소스는 가스 유입을 위한 통합 튜브를 갖춘 석영 창문부를 포함한다. 상이한 가스들을 사용하는 몇몇의 그러한 플라즈마 소스는 직렬 처리 장치 내의 기판의 연속 처리를 위한 직선 배열로 결합될 수도 있다.
본 발명의 특징은 첨부된 청구범위에 기술되어 있다. 본 발명의 전술한 이점 및 그 외의 이점들은 첨부된 도면과 관련하여 아래에 기술되어 있다.
도 1에 대해 개략적으로 언급하면, 본 발명에 따른 장치(10)의 한 실시예는 진공 펌프(도시 안함)에 연결된 진공 포트(18)를 갖춘 진공 챔버(14)를 포함하고 있다. 이 실시예에서, 장치(10)는 O-링(30)에 의해 진공 밀폐되고 제거 가능한 클램프(34)에 의해 진공 챔버(14)의 상부면(22)에 부착되는 일련의 유전체 창문부(26)를 포함하고 있다. 외부 차폐물(44) 내에 위치한 나선형 또는 팬케이크형 안테나(46)를 갖춘 한 실시예에서, rf 플라즈마 소스(40)는 상기 유전체 창문부(26)의 일부에 해체 가능하게 부착되어 있다. 용량성 또는 유도성 연결을 사용한 안테나의 다른 실시예가 사용될 수도 있다. 안테나 각각의 냉각은 냉각 유체를 안테나에 통과시켜 달성한다. 일반적으로 고전력에서만 냉각이 필요하다. rf 플라즈마 소스(40)가 부착되지 않은 창문부(26)는 진공 챔버(14) 내부를 관찰하는 포트로 사용 가능하다. rf 플라즈마 소스(40) 각각의 제거 가능성은 세척되는 결합 유전체 창문부(26)가 세척될 수 있게 하거나 또는 rf 플라즈마 소스(40)를 제거되는 장치(10) 내부의 진공 없이 교환되도록 허용한다. 비록 이 실시예에서는 유리 창문부가 사용되지만, 석영 또는 폴리에틸렌과 같은 다른 유전체 재료가 창문부의 재료로 사용될 수도 있다.
안테나(46) 각각은 연결 축전기(54)와 정합 네트워크(50)를 통해 rf 발생기(66)에 연결되어 있다. 또한 안테나(46) 각각은 각각의 안테나(46)와 병렬 연결된 동조 축전기(58)를 포함하고 있다. 동조 축전기(58) 각각은 제어기(62)로부터의 신호(D, D', D'')에 의해 제어된다. 동조 축전기(58)를 개별적으로 조절함으로써, 각각의 rf 안테나(46)로부터의 출력이 발생된 플라즈마의 균일성을 유지하도록 조절될 수 있다. 또한, 제로 반사 전력 동조와 같은 다른 동조 수단도 안테나로의 전력을 조절하는데 사용될 수 있다. 한 실시예에서, rf 발생기(66)는 제어기(62)로부터의 신호(E)에 의해 안테나(46)로의 전력을 제어한다. 한 실시예에서는, 제어기(62)가 정합 네트워크(50)로의 신호(F)에 의해 안테나(46)로 가는 전력을 제어한다.
제어기(62)는 안테나(46)로 이송되는 전력과, 플라즈마 밀도를 직접 측정하는 고속 스캐닝 랭뮤 탐침(74)으로부터의 신호(B)와 그리고 기판 웨이퍼 홀더(82)에 부착된 다수의 패러데이 컵(78)으로부터의 신호(C)를 모니터하는 (매사추세츠, 베버리에 있는 콤델, 인코포레이티드사의 리얼 파워 모니터와 같은) 센서(70)로부터의 신호(A)에 반응하여 rf 발생기(66)와 동조 축전기(58)를 조절한다. 랭뮤 탐침(74)은 탐침을 플라즈마 내외부로 움직임으로써(이중 화살표(I)) 스캐닝된다. 이러한 센서들 때문에, rf 발생기(66) 및 동조 축전기(58)를 위한 세팅은 기판을 플라즈마 처리하는 장치(10)의 실제 사용에 앞서 제어기에 의해 결정될 수도 있다. 일단 세팅이 결정되면, 탐침이 제거되고 가공될 웨이퍼가 유입된다. 장치의 다른 실시예에서, 탐침은 장치의 실시간 제어를 허용하는 처리 공정동안에 남아있게 된다. 랭뮤 탐침을 사용하는 그러한 실시예에서, 탐침으로부터 증발하는 소립자로 플라즈마를 오염시키지 않도록, 그리고 처리되는 기판을 가로막지 않도록 주의해야 한다. 그러한 장치의 또 다른 실시예에서, 장치의 특성은 제조에서 결정되고 장치는 플라즈마 탐침을 포함하지 않는다.
도 2에 대해 언급하면, 플라즈마 소스(40)의 형상은 개개의 소스의 면적의 합보다 큰 면적에 걸쳐 균일한 플라즈마를 생산하는 다수의 물리적으로 작은 플라즈마 소스(40)일 수 있다. 도시되어 있는 그러한 형상의 실시예에서, 각 변이 15.24 cm(6 inch)인 정사각형의 모서리에 놓여있는 네 개의 10.16 cm(4 inch) 직경 플라즈마 소스(40)는 단일 30.48 cm(12 inch) 직경 소스에 의해 발생되는 플라즈마에 상당하는 플라즈마를 생산한다. 그러므로, 다수의 창문부(26)를 갖춘 진공 챔버(14)를 제공함으로써, 플라즈마 소스(40)의 다양한 형상은 바람직한 균일성 및 형상을 갖는 균일 플라즈마를 생산하도록 형성될 수 있다. 기술되어 있는 안테나들은 도시되어 있는 바와 같이 철저하게 차폐되는 경우에는 소스들의 사이에서 rf 방해가 되지 않는다.
다중 rf 플라즈마 소스는 다중-이극 표면 자석 차폐물의 면전에서 전자 싸이클로트론 공명을 일으킬 수 있다. 예를 들어, 그러한 표면 자석 차폐물은 극표면에서 거의 1 KG이 될 것이며, 극표면으로부터 약 10 cm에서 약간의 가우스로 강하할 것이다. 그러한 장치에서, 전자 싸이클로트론 공명은 식 ν= 2.8 x 106(B) (여기서, B는 자기장 길이(가우스))에 의해 주어지는 전자 싸이클로트론 공명 주파수(Hz)로 정해진다. 따라서, 만일 기본 전자 싸이클로트론 공명 주파수가 13.56 MHz(즉, rf 발생기에 의해 공급되는 주파수)이면, (자석에 의해 인가되는 것과 같은) 필요한 자기장은 발생 공명 연결 동안 4.8 가우스가 된다. 기본 공명 주파수의 고조파(higher harmonics)는 균형 잡힌 자기장의 증가에 의해 달성될 수도 있다. 따라서, 연결되어 지는 제 2 고조파를 위하여, 자기장은 9.6 가우스까지 증가되어야 할 것이다. 그러한 ECR 연결은 저압(P < 1 mTorr)에서 가장 효과적이다. 소형 rf 플라즈마 소스의 사용은 그러한 자석이 전자 싸이클로트론 공명을 가능하게 하도록 위치될 수 있게 한다.
한 실시예에서, 필드 및 플라즈마 조사량의 균일성을 측정하는데 사용되는 패러데이 컵(78)은 웨이퍼 홀더(82)의 표면 내의 한 에지의 근처에 위치되어 있다(도 3). 웨이퍼(90)의 편평 에지(86)가 웨이퍼 홀더(82)상에 위치되어, 웨이퍼 홀더(82)의 패러데이 컵(78)은 플라즈마에 노출된다. 이러한 방법으로, 웨이퍼(90)에 닿는 플라즈마 조사량이 직접적으로 측정된다. 선택적으로, 도 3a에 도시된 바와 같은 특별한 웨이퍼(90')는 웨이퍼(90') 내에 묻혀있는 다수의 패러데이 컵(78)과 같이 제조된다. 이러한 특별한 웨이퍼(90')는 바람직한 플라즈마 밀도와 균일성을 달성하도록 rf 발생기(66)와 동조 축전기(58)를 세팅하는데 사용된다. 일단 작동 변수가 결정되면, 특별한 웨이퍼(90')는 제거되고 가공될 웨이퍼(90)가 웨이퍼 홀더(82)상에 위치된다.
도 4에 대해 언급하면, 비록 장치(10)에서 진공 챔버(14)의 상부면상에 위치한 플라즈마 소스(40)가 평면 배열되어 있는 것으로 기술되었지만, 플라즈마 소스(40)는 균일 볼륨의 플라즈마를 발생하도록 진공 챔버(14')의 다른 표면들에 걸쳐 분포될 수도 있다. 이러한 장치는 일괄 처리 공정에서 특히 효과적이다.
도 5에 대해 언급하면, 다른 실시예에서, 석영 창문부(100)가 진공 챔버(14)에 부착되지는 않지만 대신에, 플라즈마 소스(40')의 차폐물(44)의 한쪽 단부를 둘러싸고 있다. 이 실시예에서, 석영 창문부(100) 내의 개구부(108)에 부착되어 있는 튜브(104)는 특정 가스의 플라즈마를 형성하는 가스 공급물을 제공한다. 이러한 경우에, 플라즈마 소스(40')는 진공 챔버(14)의 벽 내의 창문부(26)에 부착되지는 않지만 대신에, 진공 챔버(14) 자체에 부착된다. 그러한 플라즈마 소스(40')는 다수의 공정에 의해 요구되는 것과 같은 특정 가스로부터 플라즈마를 생산할 수 있다. 몇몇의 그러한 플라즈마 소스(40')는 도 6에 도시된 바와 같은 직렬 장치의 실시예와 같이 상이한 플라즈마를 웨이퍼(90)를 연속적으로 처리하도록 정렬될 수 있다. 이 실시예에서, 웨이퍼(90)는 이 실시예의 구역(I 및 II) 내에 있는 연속 공정 라인(114)의 연속 구역을 통해 컨베이어(112)에 의해 이동된다. 구역 각각은 배플(baffle, 116)에 의해 인접 구역으로부터 분리된다. 한 실시예에서, 구역(I) 내의 가스는 Si-CVD 공정에서 사용되는 SiH3이고, 구역(II) 내의 가스는 도핑 공정에서 사용되는 PH3이다. 다른 실시예에서, 로봇을 가지며 다른 챔버로부터 각각의 처리 챔버를 절연하도록 로드-락을 갖춘 클로스터 기구는 플라즈마 CVD 및 플라즈마 에칭을 위한 본 발명의 rf 플라즈마 소스(40)를 포함하고 있다.
도 7은 두 개의 플라즈마 소스를 사용하는 본 발명에 따른 장치의 한 실시예를 도시하고 있다. 이 실시예에서, 소스 각각은 7.62 내지 10.16 cm(3 내지 4 inch)의 직경을 갖는 유도 팬케이크식 안테나이다. 안테나(46) 각각은 0.635 cm(1/4 inch)의 구리 튜브로 구성되고, 5 내지 6번 감긴다. 안테나(46) 각각은 각자 160 rf 축전기를 통해 정합 네트워크(50)에 연결되어 있다. 정합 네트워크(50)는 0.03 μH 유도자(125)와 두 개의 가변 축전기(130, 135)를 포함하고 있다. 한 가변 축전기(130)는 10 내지 250 pf의 범위에 걸쳐 조절 가능하고, 제 2 가변 축전기(135)는 5 내지 120 pf의 범위에 걸쳐 조절 가능하다. 정합 네트워크(50)는 가변 축전기(130, 135)를 조절함으로써 동조된다. 정합 네트워크(50)는 13.56 mHz에서 작동하는 rf 소스(66)에 차례로 연결되어 있다. 일련의 자석(140, 145)이 자석 버킷을 형성하도록 매 7 cm당 극성을 교대로 하여 챔버의 주변에 위치되어 있다.
1 mTorr 압력에서 작동하는 챔버로 인해, 안테나(46)로 가는 전력은 안테나당 25 W 또는 전체적으로 약 50 W가 된다. 0.1 mTorr로 감소된 챔버 내의 압력으로 인해, 전력은 안테나당 200 W 또는 전체적으로 약 400 W가 된다. 50 W의 전체 전력에서 결과적인 플라즈마는 1011/cm3의 균일한 밀도를 갖는다. 균일성 및 밀도는 그러한 소스를 네 개 사용하여 더 향상될 수도 있다.
도 8에 대해 언급하면, ECR 발생을 위한 자석의 배열에 대한 한 실시예가 안테나(46)에 인접한 다수의 자석(150)을 사용하고 있다. 이 실시예에서, 다수의 자석(150)은 안테나 사이에서 역전되어 있다. 도 8a는 소스 각각이 자체의 자석 세트를 갖추고 있는 다른 실시예를 도시하고 있다. 다른 자석 형상도 가능하다.
도시된 바와 같은 양호한 실시예를 가지고, 당업자는 첨부된 청구의 범위와 그 정신 내에서 다양한 변형을 할 수 있다. 그러므로, 청구의 범위만으로 본 발명이 제한되지는 않는다.

Claims (13)

  1. 플라즈마로 기판을 처리하기 위한 장치에 있어서,
    챔버의 표면상에 다수의 평면 rf 투명 창문부를 갖춘 진공 챔버와,
    rf 발생기와, 그리고
    상기 rf 발생기에 각각 전기적으로 연결되어 있고, 상기 다수의 rf 투명 창문부 각각에 병치되어 있는 둘 이상의 rf 소스를 포함하는 것을 특징으로 하는 장치.
  2. 제 1 항에 있어서, 상기 둘 이상의 rf 소스에 각각 전기적으로 연결되어 있는 하나 이상의 동조 회로를 더 포함하는 것을 특징으로 하는 장치.
  3. 제 2 항에 있어서, 상기 플라즈마에 대한 하나 이상의 특성을 측정하는 하나 이상의 센서와, 그리고
    상기 하나 이상의 센서로부터 상기 플라즈마에 대한 하나 이상의 특성을 수용하며, 그것에 반응하여 상기 다수의 동조 회로를 제어하는 제어기를 더 포함하는 것을 특징으로 하는 장치.
  4. 제 1 항에 있어서, 상기 다수의 rf 투명 창문부 각각이 석영으로 구성되는 것을 특징으로 하는 장치.
  5. 제 1 항에 있어서, 상기 다수의 rf 투명 창문부 각각이 유리로 구성되는 것을 특징으로 하는 장치.
  6. 제 1 항에 있어서, 상기 하나 이상의 센서가 랭뮤 탐침인 것을 특징으로 하는 장치.
  7. 제 1 항에 있어서, 상기 하나 이상의 센서가 패러데이 컵의 배열인 것을 특징으로 하는 장치.
  8. 제 7 항에 있어서, 상기 장치가 웨이퍼 홀더를 더 포함하고 상기 패러데이 컵을 상기 웨이퍼 홀더에 부착하는 것을 특징으로 하는 장치.
  9. 제 7 항에 있어서, 상기 장치가 실험 웨이퍼의 표면상에 다수의 패러데이 컵을 갖춘 실험 웨이퍼를 더 포함하는 것을 특징으로 하는 장치.
  10. 제 1 항에 있어서, 상기 하나 이상의 센서가 광학 센서인 것을 특징으로 하는 장치.
  11. 제 2 항에 있어서, 상기 하나 이상의 동조 회로가 상기 개개의 플라즈마 소스와 전기적으로 병렬 연결되어 있는 동조 축전기를 포함하는 것을 특징으로 하는 장치.
  12. 플라즈마 소스에 있어서,
    제 1 단부에서 개방되어 있으며 제2 단부에서 차폐물 구멍을 갖고 있는 차폐물과,
    상기 차폐물의 내부에 위치한 rf 안테나와, 그리고
    상기 차폐물의 제 1 단부를 둘러싸도록 위치되어 있으며, 가스가 상기 차폐물 및 유전체 창문부 구멍을 관통하도록, 구멍을 형성하며 상기 유전체 창문부 내의 상기 구멍으로부터 상기 차폐물 구멍까지 연장되는 유전체 가스 입구 튜브를 갖춘 유전체 창문부를 포함하는 것을 특징으로 하는 플라즈마 소스.
  13. 직렬 연속 플라즈마 처리 장치에 있어서,
    하우징 내에 위치되어 있는 다수의 배플을 포함하는 하우징과,
    상기 배플 사이에서 상기 하우징을 따라 위치되어 있는 다수의 rf 플라즈마 소스와, 그리고
    상기 하우징 내부에 위치되어 있는 컨베이어 벨트를 포함하고,
    상기 플라즈마 소스 각각은,
    제 1 단부에서 개방되어 있으며 제2 단부에서 차폐물 구멍을 갖고 있는 차폐물과,
    상기 차폐물의 내부에 위치한 rf 안테나와, 그리고
    상기 차폐물의 제 1 단부를 둘러싸도록 위치되어 있으며, 가스가 상기 차폐물 및 유전체 창문부 구멍을 관통하여 상기 하우징 내로 지나가도록, 구멍을 형성하며 상기 유전체 창문부 내의 구멍으로부터 상기 차폐물 구멍까지 연장되는 유전체 가스 입구 튜브를 갖춘 유전체 창문부를 포함하고,
    상기 컨베이어 벨트는 상기 배플의 사이에서 상기 각각의 rf 플라즈마에 의해 발생되는 플라즈마의 아래와 상기 하우징의 내부에서 웨이퍼를 운반하는 것을 특징으로 하는 장치.
KR1019980700373A 1995-07-19 1996-07-02 대형 기판의 플라즈마 처리용 장치 KR19990029069A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8/503973 1995-07-19
US08/503,973 US5653811A (en) 1995-07-19 1995-07-19 System for the plasma treatment of large area substrates

Publications (1)

Publication Number Publication Date
KR19990029069A true KR19990029069A (ko) 1999-04-15

Family

ID=24004315

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980700373A KR19990029069A (ko) 1995-07-19 1996-07-02 대형 기판의 플라즈마 처리용 장치

Country Status (9)

Country Link
US (3) US5653811A (ko)
EP (1) EP0842307B1 (ko)
JP (1) JP4128217B2 (ko)
KR (1) KR19990029069A (ko)
CN (1) CN1192788A (ko)
AU (1) AU718941B2 (ko)
CA (1) CA2227233C (ko)
DE (1) DE69625068D1 (ko)
WO (1) WO1997004478A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100670509B1 (ko) * 2005-02-01 2007-01-16 삼성에스디아이 주식회사 플라즈마 디스플레이 패널 제조설비
KR20190032922A (ko) * 2017-09-20 2019-03-28 주식회사 유진테크 배치식 플라즈마 기판처리장치

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP4654176B2 (ja) * 1996-02-22 2011-03-16 住友精密工業株式会社 誘導結合プラズマ・リアクタ
US6116185A (en) * 1996-05-01 2000-09-12 Rietzel; James G. Gas injector for plasma enhanced chemical vapor deposition
JP3437376B2 (ja) * 1996-05-21 2003-08-18 キヤノン株式会社 プラズマ処理装置及び処理方法
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6140773A (en) * 1996-09-10 2000-10-31 The Regents Of The University Of California Automated control of linear constricted plasma source array
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
KR100505176B1 (ko) 1996-09-27 2005-10-10 서페이스 테크놀로지 시스템스 피엘씨 플라즈마가공장치
GB9620151D0 (en) * 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6159825A (en) * 1997-05-12 2000-12-12 Silicon Genesis Corporation Controlled cleavage thin film separation process using a reusable substrate
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US20070122997A1 (en) 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
GB9711273D0 (en) 1997-06-03 1997-07-30 Trikon Equip Ltd Electrostatic chucks
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US5989349A (en) * 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US6548382B1 (en) 1997-07-18 2003-04-15 Silicon Genesis Corporation Gettering technique for wafers made using a controlled cleaving process
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6269765B1 (en) * 1998-02-11 2001-08-07 Silicon Genesis Corporation Collection devices for plasma immersion ion implantation
US6217724B1 (en) * 1998-02-11 2001-04-17 Silicon General Corporation Coated platen design for plasma immersion ion implantation
US6186091B1 (en) * 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6228176B1 (en) * 1998-02-11 2001-05-08 Silicon Genesis Corporation Contoured platen design for plasma immerson ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6034781A (en) * 1998-05-26 2000-03-07 Wisconsin Alumni Research Foundation Electro-optical plasma probe
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6300227B1 (en) 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
JP2002531914A (ja) * 1998-12-01 2002-09-24 シリコン ジェネシス コーポレイション プラズマ浸漬イオン注入用増強プラズマモード、方法およびシステム
US6530342B1 (en) * 1998-12-30 2003-03-11 Tokyo Electron Limited Large area plasma source
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6199506B1 (en) * 1999-06-30 2001-03-13 Novellus Systems, Inc. Radio frequency supply circuit for in situ cleaning of plasma-enhanced chemical vapor deposition chamber using NF3 or NF3/He mixture
EP1204986A1 (en) * 1999-08-06 2002-05-15 Axcelis Technologies, Inc. System and method for providing implant dose uniformity across the surface of a substrate
US6221740B1 (en) 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
US6500732B1 (en) 1999-08-10 2002-12-31 Silicon Genesis Corporation Cleaving process to fabricate multilayered substrates using low implantation doses
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6706541B1 (en) * 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
KR100323613B1 (ko) * 2000-03-29 2002-02-19 박세근 대면적 플라즈마 소스 형성장치
US6653852B1 (en) 2000-03-31 2003-11-25 Lam Research Corporation Wafer integrated plasma probe assembly array
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6578514B2 (en) * 2000-07-13 2003-06-17 Duratek Inc. Modular device of tubular plasma source
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7309997B1 (en) 2000-09-15 2007-12-18 Varian Semiconductor Equipment Associates, Inc. Monitor system and method for semiconductor processes
JP2002100623A (ja) * 2000-09-20 2002-04-05 Fuji Daiichi Seisakusho:Kk 薄膜半導体製造装置
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
TW519716B (en) * 2000-12-19 2003-02-01 Tokyo Electron Ltd Wafer bias drive for a plasma source
US6631693B2 (en) * 2001-01-30 2003-10-14 Novellus Systems, Inc. Absorptive filter for semiconductor processing systems
US6664740B2 (en) * 2001-02-01 2003-12-16 The Regents Of The University Of California Formation of a field reversed configuration for magnetic and electrostatic confinement of plasma
US6611106B2 (en) 2001-03-19 2003-08-26 The Regents Of The University Of California Controlled fusion in a field reversed configuration and direct energy conversion
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
PT1253216E (pt) * 2001-04-27 2004-04-30 Europ Economic Community Metodo e aparelhagem para tratamento sequencial por plasma
US6673636B2 (en) 2001-05-18 2004-01-06 Applied Materails Inc. Method of real-time plasma charging voltage measurement on powered electrode with electrostatic chuck in plasma process chambers
US7282721B2 (en) * 2001-08-30 2007-10-16 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for tuning ion implanters
US6493078B1 (en) * 2001-09-19 2002-12-10 International Business Machines Corporation Method and apparatus to improve coating quality
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
GB0208261D0 (en) * 2002-04-10 2002-05-22 Dow Corning An atmospheric pressure plasma assembly
JP2004043910A (ja) * 2002-07-12 2004-02-12 Canon Inc 堆積膜形成方法および形成装置
US20040016402A1 (en) * 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
AU2003268158A1 (en) * 2002-09-19 2004-04-08 Tokyo Electron Limited Viewing window cleaning apparatus
US8187377B2 (en) 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US6969953B2 (en) * 2003-06-30 2005-11-29 General Electric Company System and method for inductive coupling of an expanding thermal plasma
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US7273533B2 (en) * 2003-11-19 2007-09-25 Tokyo Electron Limited Plasma processing system with locally-efficient inductive plasma coupling
US7464662B2 (en) * 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US20050188922A1 (en) * 2004-02-26 2005-09-01 Tokyo Electron Limited. Plasma processing unit
US7138187B2 (en) * 2004-03-19 2006-11-21 Younger Mfg. Co. Polyvinyl alcohol-based film exhibiting improved adhesion
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
EP1605493A1 (en) * 2004-06-07 2005-12-14 HELYSSEN S.à.r.l. Plasma processing control
US7400096B1 (en) 2004-07-19 2008-07-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Large area plasma source
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7305935B1 (en) 2004-08-25 2007-12-11 The United States Of America As Represented By The Administration Of Nasa Slotted antenna waveguide plasma source
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7109499B2 (en) * 2004-11-05 2006-09-19 Varian Semiconductor Equipment Associates, Inc. Apparatus and methods for two-dimensional ion beam profiling
EP1815493B1 (en) * 2004-11-24 2008-04-02 OC Oerlikon Balzers AG Vacuum processing chamber for very large area substrates
US20060198485A1 (en) * 2005-03-07 2006-09-07 Michl Binderbauer Plasma electric generation and propulsion system
US9123512B2 (en) 2005-03-07 2015-09-01 The Regents Of The Unviersity Of California RF current drive for plasma electric generation system
US8031824B2 (en) 2005-03-07 2011-10-04 Regents Of The University Of California Inductive plasma source for plasma electric generation system
US9607719B2 (en) * 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
JP4621914B2 (ja) * 2005-04-19 2011-02-02 国立大学法人 長崎大学 極細管内壁面のコーティング方法およびコーティング装置
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7462552B2 (en) * 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
EP1886339A4 (en) * 2005-06-03 2008-08-27 Csg Solar Ag METHOD AND DEVICE FOR HYDROGENATING THIN FILM SILICON ON GLASS
US8179050B2 (en) 2005-06-23 2012-05-15 The Regents Of The University Of California Helicon plasma source with permanent magnets
JP2009507363A (ja) * 2005-07-27 2009-02-19 シリコン・ジェネシス・コーポレーション 制御された劈開プロセスを用いてプレート上の複数タイル部分を形成する方法および構造
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7466740B2 (en) * 2005-12-07 2008-12-16 Ajax Tocco Magnethermic Corporation Induction coil having internal and external faradic rings
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
WO2008024392A2 (en) 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8992725B2 (en) * 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
CN101583736A (zh) * 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
JP5111913B2 (ja) * 2007-03-23 2013-01-09 株式会社東芝 光電気混載集積回路
FR2917753B1 (fr) * 2007-06-20 2011-05-06 Quertech Ingenierie Dispositif multi-sources rce pour le traitement de pieces par implantation ionique et procede le mettant en oeuvre
US20090001599A1 (en) * 2007-06-28 2009-01-01 Spansion Llc Die attachment, die stacking, and wire embedding using film
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
DE102008027363B4 (de) * 2008-06-09 2018-04-26 Meyer Burger (Germany) Ag Vorrichtung zur Behandlung großvolumiger Substrate im Plasma und Verfahren zur Anwendung
US20090308439A1 (en) 2008-06-11 2009-12-17 Solar Implant Technologies Inc. Solar cell fabrication using implantation
US8330126B2 (en) 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
CN101754564B (zh) * 2008-12-09 2014-02-19 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体加工设备
JP5478058B2 (ja) * 2008-12-09 2014-04-23 国立大学法人東北大学 プラズマ処理装置
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
JP4621287B2 (ja) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置
WO2010108151A1 (en) * 2009-03-20 2010-09-23 Solar Implant Technologies, Inc. Advanced high efficiency crystalline solar cell fabrication method
US8329557B2 (en) 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US20100326271A1 (en) * 2009-06-25 2010-12-30 Omax Corporation Reciprocating pump and method for making a system with enhanced dynamic seal reliability
KR101081743B1 (ko) * 2009-08-17 2011-11-09 주성엔지니어링(주) 기판처리장치
US8124939B2 (en) * 2009-09-24 2012-02-28 Asml Netherlands B.V. Radiation detector
CN107359103A (zh) * 2009-10-28 2017-11-17 应用材料公司 用于等离子体增强化学气相沉积的腔室
KR101587053B1 (ko) * 2009-11-23 2016-01-21 주성엔지니어링(주) 기판처리장치
TW201130401A (en) * 2009-11-23 2011-09-01 Jusung Eng Co Ltd Apparatus for processing substrate
KR101589109B1 (ko) * 2009-11-23 2016-01-28 주성엔지니어링(주) 기판처리장치
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
EP2814051A1 (en) * 2010-02-09 2014-12-17 Intevac, Inc. Shadow mask implantation system
WO2011104803A1 (ja) * 2010-02-25 2011-09-01 シャープ株式会社 プラズマ生成装置
CN102792427A (zh) * 2010-03-31 2012-11-21 东京毅力科创株式会社 等离子体处理装置用电介质窗、等离子体处理装置和等离子体处理装置用电介质窗的安装方法
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
KR101246191B1 (ko) * 2011-10-13 2013-03-21 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
SG10201508582WA (en) 2011-11-08 2015-11-27 Intevac Inc Substrate processing system and method
KR102276959B1 (ko) 2011-11-14 2021-07-12 더 리젠츠 오브 더 유니버시티 오브 캘리포니아 고성능 frc를 형성하고 유지하는 시스템 및 방법
US8809803B2 (en) 2012-08-13 2014-08-19 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma ion source with multiple antennas for wide ion beam
KR101709424B1 (ko) * 2012-11-15 2017-02-22 제임스 앤드류 레스코세크 플라즈마 게이트
US9318332B2 (en) 2012-12-19 2016-04-19 Intevac, Inc. Grid for plasma ion implant
US9783884B2 (en) * 2013-03-14 2017-10-10 Varian Semiconductor Equipment Associates, Inc. Method for implementing low dose implant in a plasma system
JP6101535B2 (ja) * 2013-03-27 2017-03-22 株式会社Screenホールディングス プラズマ処理装置
US20150042017A1 (en) * 2013-08-06 2015-02-12 Applied Materials, Inc. Three-dimensional (3d) processing and printing with plasma sources
US9355819B2 (en) 2013-08-16 2016-05-31 Applied Materials, Inc. Elongated capacitively coupled plasma source for high temperature low pressure environments
JP6876435B2 (ja) 2013-09-24 2021-05-26 ティーエーイー テクノロジーズ, インコーポレイテッド 高性能frcを形成し維持するシステムおよび方法
JP2015074792A (ja) * 2013-10-07 2015-04-20 株式会社Screenホールディングス プラズマcvd装置
US9299536B2 (en) * 2013-10-17 2016-03-29 Varian Semiconductor Equipment Associates, Inc. Wide metal-free plasma flood gun
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
US9433071B2 (en) * 2014-06-13 2016-08-30 Plasma Innovations, LLC Dielectric barrier discharge plasma generator
CN107006111B (zh) 2014-10-13 2020-06-30 阿尔法能源技术公司 用于合并和压缩紧凑环的系统和方法
HUE046413T2 (hu) 2014-10-30 2020-03-30 Tae Tech Inc Összeállítások és eljárások plazma létrehozására és fenntartására nagyteljesítményû FRC-ben
KR101682881B1 (ko) * 2014-12-05 2016-12-06 인베니아 주식회사 플라즈마 발생모듈 및 이를 포함하는 플라즈마 처리장치
US9646843B2 (en) * 2014-12-08 2017-05-09 Applied Materials, Inc. Tunable magnetic field to improve uniformity
DK3295459T3 (da) 2015-05-12 2020-11-16 Tae Tech Inc Systemer og fremgangsmåder til reduktion af uønskede hvirvelstrømme
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
MX2018005933A (es) 2015-11-13 2018-11-09 Tae Tech Inc Sistemas y metodos para obtener estabilidad de la posicion del plasma de frc.
US9721759B1 (en) * 2016-04-04 2017-08-01 Aixtron Se System and method for distributing RF power to a plasma source
KR101798384B1 (ko) * 2016-05-03 2017-11-17 (주)브이앤아이솔루션 유도결합 플라즈마 처리장치의 rf 안테나 구조
MX2019004956A (es) 2016-10-28 2019-06-24 Tae Tech Inc Sistemas y métodos para mejorar el mantenimiento de un alto desempeño de elevadas energías frc (field reverse configuration-configuración de campo invertido) que utilizan inyectores de haz neutro con energía de haz ajustable.
UA127712C2 (uk) 2016-11-04 2023-12-13 Тае Текнолоджіз, Інк. Системи і способи поліпшеної підтримки високоефективної конфігурації з оберненим полем з вакуумуванням із захопленням багатомасштабного типу
AU2017362979B2 (en) 2016-11-15 2022-10-27 Tae Technologies, Inc. Systems and methods for improved sustainment of a high performance FRC and high harmonic fast wave electron heating in a high performance FRC
US11339477B2 (en) * 2016-11-30 2022-05-24 Jiangsu Favored Nanotechnology Co., LTD Plasma polymerization coating apparatus and process
CN106756888B (zh) 2016-11-30 2018-07-13 江苏菲沃泰纳米科技有限公司 一种纳米镀膜设备旋转货架装置
CN106622824B (zh) * 2016-11-30 2018-10-12 江苏菲沃泰纳米科技有限公司 一种等离子体聚合涂层装置
US20180174801A1 (en) * 2016-12-21 2018-06-21 Ulvac Technologies, Inc. Apparatuses and methods for surface treatment
US10808688B1 (en) 2017-07-03 2020-10-20 Omax Corporation High pressure pumps having a check valve keeper and associated systems and methods
DE102018113444B3 (de) 2018-06-06 2019-10-10 Meyer Burger (Germany) Gmbh Lineare Mikrowellen-Plasmaquelle mit getrennten Plasmaräumen
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
EP4127479A1 (en) 2020-03-30 2023-02-08 Hypertherm, Inc. Cylinder for a liquid jet pump with multi-functional interfacing longitudinal ends
US11776793B2 (en) 2020-11-13 2023-10-03 Applied Materials, Inc. Plasma source with ceramic electrode plate
CN114453345B (zh) * 2021-12-30 2023-04-11 广东鼎泰高科技术股份有限公司 一种等离子体清洗系统

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2245779B1 (ko) * 1973-09-28 1978-02-10 Cit Alcatel
US3855110A (en) 1973-11-15 1974-12-17 United Aircraft Corp Cylindrical rf sputtering apparatus
US3926147A (en) 1974-11-15 1975-12-16 Mc Donnell Douglas Corp Glow discharge-tumbling vapor deposition apparatus
US4042128A (en) 1975-11-26 1977-08-16 Airco, Inc. Substrate transfer apparatus for a vacuum coating system
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS6029295B2 (ja) 1979-08-16 1985-07-10 舜平 山崎 非単結晶被膜形成法
US4322661A (en) 1979-12-26 1982-03-30 Huges Aircraft Company Cross-field plasma mode electric conduction control device
US4304983A (en) 1980-06-26 1981-12-08 Rca Corporation Plasma etching device and process
US4345968A (en) * 1981-08-27 1982-08-24 Ncr Corporation End point detection using gas flow
JPH06105597B2 (ja) 1982-08-30 1994-12-21 株式会社日立製作所 マイクロ波プラズマ源
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS59193904A (ja) * 1983-04-18 1984-11-02 Matsushita Electric Ind Co Ltd 高分子薄膜形成装置
US5780313A (en) 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
US4811684A (en) 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4566403A (en) * 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
WO1986006923A1 (en) 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
FR2583250B1 (fr) 1985-06-07 1989-06-30 France Etat Procede et dispositif d'excitation d'un plasma par micro-ondes a la resonance cyclotronique electronique
US4756882A (en) 1985-06-21 1988-07-12 Surgikos Inc. Hydrogen peroxide plasma sterilization system
US4632719A (en) 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
JP2635021B2 (ja) * 1985-09-26 1997-07-30 宣夫 御子柴 堆積膜形成法及びこれに用いる装置
US4826646A (en) 1985-10-29 1989-05-02 Energy/Matter Conversion Corporation, Inc. Method and apparatus for controlling charged particles
KR880000215A (ko) 1986-06-10 1988-03-24 나까므라 히사오 시이트(sheet)상 물체의 플라즈마 처리장치
JPS6393881A (ja) * 1986-10-08 1988-04-25 Anelva Corp プラズマ処理装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4847792A (en) * 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4846928A (en) * 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) * 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) * 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
GB2212974B (en) 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US4853250A (en) * 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
JP2670623B2 (ja) * 1988-09-19 1997-10-29 アネルバ株式会社 マイクロ波プラズマ処理装置
US4952273A (en) * 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US5202095A (en) * 1988-12-27 1993-04-13 Matsushita Electric Industrial Co., Ltd. Microwave plasma processor
EP0379828B1 (en) * 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5203960A (en) * 1989-03-09 1993-04-20 Applied Microwave Plasma Concepts, Inc. Method of operation of electron cyclotron resonance plasma source
US5370765A (en) * 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5133826A (en) * 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5032205A (en) 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5183775A (en) 1990-01-23 1993-02-02 Applied Materials, Inc. Method for forming capacitor in trench of semiconductor wafer by implantation of trench surfaces with oxygen
DE4114108C1 (ko) * 1991-04-30 1991-12-19 Schott Glaswerke, 6500 Mainz, De
JPH0810634B2 (ja) * 1990-06-01 1996-01-31 インターナショナル・ビジネス・マシーンズ・コーポレイション マイクロ波給電式材料/プラズマ処理システム
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5296272A (en) 1990-10-10 1994-03-22 Hughes Aircraft Company Method of implanting ions from a plasma into an object
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5304282A (en) 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
JPH04362091A (ja) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US5772832A (en) 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5198725A (en) 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
US5342472A (en) * 1991-08-12 1994-08-30 Tokyo Electron Limited Plasma processing apparatus
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5223108A (en) 1991-12-30 1993-06-29 Materials Research Corporation Extended lifetime collimator
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
WO1993018201A1 (en) 1992-03-02 1993-09-16 Varian Associates, Inc. Plasma implantation process and equipment
US5490910A (en) 1992-03-09 1996-02-13 Tulip Memory Systems, Inc. Circularly symmetric sputtering apparatus with hollow-cathode plasma devices
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5404079A (en) * 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
WO1994006263A1 (en) 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
JP3266163B2 (ja) * 1992-10-14 2002-03-18 東京応化工業株式会社 プラズマ処理装置
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
EP0667921B1 (en) 1992-11-13 2000-01-26 Energy Conversion Devices, Inc. Microwave apparatus for depositing thin films
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5289010A (en) 1992-12-08 1994-02-22 Wisconsin Alumni Research Foundation Ion purification for plasma ion implantation
US5374456A (en) 1992-12-23 1994-12-20 Hughes Aircraft Company Surface potential control in plasma processing of materials
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US6136140A (en) 1993-01-12 2000-10-24 Tokyo Electron Limited Plasma processing apparatus
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5362353A (en) 1993-02-26 1994-11-08 Lsi Logic Corporation Faraday cage for barrel-style plasma etchers
TW249313B (ko) 1993-03-06 1995-06-11 Tokyo Electron Co
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5498290A (en) 1993-08-27 1996-03-12 Hughes Aircraft Company Confinement of secondary electrons in plasma ion processing
JPH07106512A (ja) 1993-10-04 1995-04-21 Sharp Corp 分子イオン注入を用いたsimox処理方法
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5431799A (en) 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
US5413955A (en) 1993-12-21 1995-05-09 Delco Electronics Corporation Method of bonding silicon wafers at temperatures below 500 degrees centigrade for sensor applications
DE4403125A1 (de) * 1994-02-02 1995-08-03 Fraunhofer Ges Forschung Vorrichtung zur Plasmaerzeugung
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5411592A (en) * 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5661043A (en) 1994-07-25 1997-08-26 Rissman; Paul Forming a buried insulator layer using plasma source ion implantation
US5651868A (en) 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5504328A (en) * 1994-12-09 1996-04-02 Sematech, Inc. Endpoint detection utilizing ultraviolet mass spectrometry
JP3426382B2 (ja) 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
EP0735565B1 (en) * 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
JP2666768B2 (ja) * 1995-04-27 1997-10-22 日本電気株式会社 ドライエッチング方法及び装置
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5985032A (en) * 1995-05-17 1999-11-16 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing apparatus
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5686796A (en) 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5804027A (en) 1996-02-09 1998-09-08 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for generating and utilizing magnetically neutral line discharge type plasma
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5641969A (en) 1996-03-28 1997-06-24 Applied Materials, Inc. Ion implantation apparatus
US5710057A (en) 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5824602A (en) 1996-10-21 1998-10-20 The United States Of America As Represented By The United States Department Of Energy Helicon wave excitation to produce energetic electrons for manufacturing semiconductors
US6051073A (en) 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6204607B1 (en) 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100670509B1 (ko) * 2005-02-01 2007-01-16 삼성에스디아이 주식회사 플라즈마 디스플레이 패널 제조설비
KR20190032922A (ko) * 2017-09-20 2019-03-28 주식회사 유진테크 배치식 플라즈마 기판처리장치
US10961626B2 (en) 2017-09-20 2021-03-30 Eugene Technology Co., Ltd. Plasma processing apparatus having injection ports at both sides of the ground electrode for batch processing of substrates

Also Published As

Publication number Publication date
US5653811A (en) 1997-08-05
DE69625068D1 (de) 2003-01-09
WO1997004478A3 (en) 1997-03-20
AU718941B2 (en) 2000-05-04
EP0842307B1 (en) 2002-11-27
CA2227233A1 (en) 1997-02-06
AU6344996A (en) 1997-02-18
CA2227233C (en) 2001-10-30
EP0842307A4 (en) 1999-12-01
US6338313B1 (en) 2002-01-15
EP0842307A2 (en) 1998-05-20
WO1997004478A2 (en) 1997-02-06
US20020029850A1 (en) 2002-03-14
JPH11510302A (ja) 1999-09-07
US6632324B2 (en) 2003-10-14
JP4128217B2 (ja) 2008-07-30
CN1192788A (zh) 1998-09-09

Similar Documents

Publication Publication Date Title
KR19990029069A (ko) 대형 기판의 플라즈마 처리용 장치
US6186091B1 (en) Shielded platen design for plasma immersion ion implantation
US6051073A (en) Perforated shield for plasma immersion ion implantation
US5685942A (en) Plasma processing apparatus and method
US5571366A (en) Plasma processing apparatus
US6120660A (en) Removable liner design for plasma immersion ion implantation
US6300227B1 (en) Enhanced plasma mode and system for plasma immersion ion implantation
KR100602074B1 (ko) 트랜스포머 결합 평형 안테나를 가진 플라즈마 발생장치
US20040011466A1 (en) Plasma processing apparatus
US7575987B2 (en) Method of plasma doping
US6217724B1 (en) Coated platen design for plasma immersion ion implantation
US6269765B1 (en) Collection devices for plasma immersion ion implantation
TW200414274A (en) Plasma processing apparatus
WO2004056159A1 (ja) プラズマ生成装置、プラズマ制御方法及び基板製造方法
US6228176B1 (en) Contoured platen design for plasma immerson ion implantation
US20010002584A1 (en) Enhanced plasma mode and system for plasma immersion ion implantation
JP3618333B2 (ja) プラズマ生成装置
KR100798352B1 (ko) 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
KR100806522B1 (ko) 유도 결합 플라즈마 반응기
KR20090009369A (ko) 히터가 설치된 유도 결합 플라즈마 소스를 구비한 플라즈마반응기
JP2005149887A (ja) プラズマ発生装置用アンテナの整合方法及びプラズマ発生装置
JP2004228354A (ja) プラズマ生成装置
JP4598253B2 (ja) プラズマ装置
JP3676680B2 (ja) プラズマ装置及びプラズマ生成方法
JP3372244B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application