KR19990006869A - 챔버내에 시즈닝 박막을 피복하여 hdp-cvd 챔버내의 이동성 이온 및 금속오염원을 제거하기 위한 방법 및 장치 - Google Patents

챔버내에 시즈닝 박막을 피복하여 hdp-cvd 챔버내의 이동성 이온 및 금속오염원을 제거하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR19990006869A
KR19990006869A KR1019980021631A KR19980021631A KR19990006869A KR 19990006869 A KR19990006869 A KR 19990006869A KR 1019980021631 A KR1019980021631 A KR 1019980021631A KR 19980021631 A KR19980021631 A KR 19980021631A KR 19990006869 A KR19990006869 A KR 19990006869A
Authority
KR
South Korea
Prior art keywords
chamber
protective
seasoning
gas
substrate
Prior art date
Application number
KR1019980021631A
Other languages
English (en)
Other versions
KR100562208B1 (ko
Inventor
켄트 로스만
터것 사힌
히켐 엠사드
로모울드 노왁
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR19990006869A publication Critical patent/KR19990006869A/ko
Application granted granted Critical
Publication of KR100562208B1 publication Critical patent/KR100562208B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 챔버 성분 내부에 자연스럽게 발생하는 오염원이 피복챔버 내부로 도입되는 것을 제어하기 위한 방법 및 장치에 관한 것이다. CVD 챔버는 건식 세정작업 이후 및 챔버 내부로 기판이 도입되기 이전에 보호층으로 시즈닝된다. 피복된 시즈닝 층은 챔버 부품 재료와 관련된 오염원에 대해 낮은 피복 속도로, 챔버 성분을 덮어 다음 가공처리 단계를 방해하는 오염원의 발생 가능성을 감소시킨다. 시즈닝 층의 피복이 완료된 이후에, 챔버는 전술되어진 바와 같이 다른 세정법에 의해 세정되고 재시즈닝되기 이전에 n개의 기판 피복단계에 대해 하나만이 사용된다.

Description

챔버내에 시즈닝 박막을 피복하여 HDP-CVD 챔버내의 이동성 이온 및 금속 오염원을 제거하기 위한 방법 및 장치
본 발명은 집적회로의 제조에 관한 것이다. 특히, 본 발명은 기판 가공처리 챔버내에서 증착된 박막의 질을 개선하기 위한 방법과 장치를 포함하는 기술에 관한 것이다. 본 발명은 화학 증착법에 의해 피복된 비도프 규산 유리(USG) 박막의 질을 개선하는데 특히 유리하나, 예를 들어 규불화 유리(FSG), 인-도프 규산 유리(PSG), 질화 붕소(BN) 및 비정질 탄소를 포함하는 다수의 다른 형태의 박막 뿐만 아니라 다른 증착법에 의해 피복된 박막에도 적용될 수 있다.
현 반도체 장치의 제조에 있어서의 중요 단계중 하나는 가스의 화학 반응에 의해 반도체 기판상에 박막을 형성하는 단계이다. 그와같은 피복공정은 화학 증착법(CVD)이라 지칭된다. 종래의 가열 화학 증착법은 가열 유도된 화학 반응에 의해 소정의 박막을 발생시키는 기판 표면에 반응가스를 공급한다. 플라즈마 CVD 공정은 반응가스를 여기시키도록 고주파(RF) 에너지를 적용함으로써 플라즈마를 발생시키는 것에 의해서 반응가스의 활성도를 증진시킨다. 활성화된 반응가스의 고 반응성은 화학반응이 발생하는데 필요한 에너지를 감소시켜, CVD 공정에 필요한 온도를 낮춘다. 매우 낮은 온도의 플라즈마 CVD 공정은 피복된 금속층 상의 절연층의 형성 및 다른 절연층의 형성에도 이상적이다. 고밀도 플라즈마 CVD(HDP-CVD) 공정은 전술한 플라즈마 CVD 공정과 유사하나 HDP 공정은 전기용량 에너지보다는 유도 에너지를 사용한다.
공지의 HDP-CVD 피복 챔버중의 하나에 있어서, 진공챔버는 바닥면을 따라 음극으로서의 역할을 하고 상부면을 따라서 양극으로서의 역할을 하는 평탄한 기판 지지대와, 상기 바닥면으로부터 상방향으로 연장하는 매우 짧은 측벽, 및 상기 짧은 측벽을 상부와 연결하는 유전체 측벽에 의해 형성되어 있다. 유도 코일은 상기 유전체 측벽 주위에 장착되고 고주파 발생기에 연결된다. 상기 상부 및 바닥 전극은 바이어스 고주파(BRF) 발생기에 통상적으로 연결된다. 노즐과 같은 등간격으로 이격된 2세트 이상의 가스 배분기는 상기 측벽에 장착되고 상기 기판 지지표면의 엣지 주변 영역내측으로 연장한다. 상기 각 세트중의 가스 노즐은 공통 매니폴드에 연결되며, 상기 매니폴드는 노즐에 아르곤, 산소, 실란(SiH4), TEOS(테트라에톡시-실란), 4불화 실리콘 등과같은 가스를 포함하는 가공처리 가스를 제공하며, 상기 가스의 조성은 상기 기판에 형성될 재료의 형태에 주로 의존한다. 가스 노즐세트는 실란과 같은 몇몇 가스가 산소와 같은 다른 가스와 분리되게 챔버의 내측으로 분배할 필요성이 있기 때문에 공통으로 사용되며, 산소 및 SiH4와 같은 다른 가스들은 공통의 매니폴드를 통해서 공통의 노즐세트로 분배될 수 있다. 상기 노즐의 선단에는 통상, 오리피스인 배출구가 제공되는데, 상기 배출구는 기판 지지대의 원주위에 등간격으로 위치되며 배출구를 통해 가공처리 가스가 흐른다.
피복 공정에서는 항상 오염 가능성이 중요하다. 예를 들어, 기판의 표면상에 산화 실리콘과 기타 층을 피복하는 동안에, 가공처리 챔버내측에서 방출된 피복 가스는 상기 가공처리 챔버의 벽과 같은 구역에 불가피하게 퇴적되게 된다. 만일 이들을 제거하지 않는다면, 이들은 다음 가공처리 단계에 관여할 수 있는 오염원이 되어 웨이퍼의 수율에 악영향을 끼치게 된다. 이러한 문제점을 방지하기 위해서는 원치않는 피복재료를 챔버벽과 가공처리 챔버의 유사영역으로부터 제거하도록 챔버의 내측면을 정기적으로 세척해야 한다. 이러한 공정은 3불화 질소(NF3)와 같은 에칭가스가 챔버벽 및 기타 영역으로부터 상기 피복재료를 제거(에칭)하는 건식 세정법에 의해 수행된다. 상기 에칭가스는 챔버 내측으로 유입되며 플라즈마가 형성되어 제거할 피복재료와 상기 에칭가스가 반응하여 챔버벽으로부터 피복 재료를 제거한다. 이와 같은 세척공정은 통상적으로 모든 웨이퍼 또는 매 n개의 웨이퍼에 대해서 피복공정 단계 사이에서 수행된다.
그러나, 전술한 세척공정에 의해 제거되지 않는 피복챔버 고유의 오염원과 같은 기타의 오염원이 있다. 예를들어, 전술한 HDP-CVD 챔버내에 있는 유전체 측벽은 수정, 산화 실리콘(SiO2) 재료 또는 알루미나(Al2O3)로 형성되며, 상기 노즐도 통상적으로 알루미나로 형성된다. 또한, 챔버 몸체 자체도 통상적으로 알루미늄(Al)으로 형성된다. 예를 들어, 나트륨(Na), 리튬(Li), 칼륨(K), 알루미늄(Al), 철(Fe), 크롬(Cr) 및 니켈(Ni)과 같은 각각의 재료는 잠재적인 오염원이다. 상기 오염원이 챔버 성분내에서 발생하므로, 이들 오염원은 전술한 세척공정에 의해 일반적으로 제거되지 않으며, 따라서, 가공처리 공정에 관여하게 되어 웨이퍼 수율에 악영향을 끼치게 된다.
하나의 예로서, 나트륨은 상기 피복공정에 특히 치명적인 오염원으로 밝혀졌다. 피복챔버내의 나트륨 오염원의 농도가 높으면 산화 실리콘과 알루미나 표면에서의 나트륨 오염원의 확산율을 매우 높게 한다. 그러므로, 플라즈마 반응로내에서의 웨이퍼 가공처리 중, 나트륨은 챔버내에서 없어질 때까지 수정 돔과 알루미나 노즐을 통해 확산되어 피복된 박막과 결합하고 오염시키는 것으로 여겨진다.
상기 피복 챔버 내측으로의 오염원의 도입을 제어하기 위한 공지의 방법은 기판 박막의 가공처리 이전에 챔버의 내측면 위에 산화 실리콘의 시즈닝(seasoning) 층을 피복하는 단계를 포함한다. 예를 들어, 전술한 세척 단계는 그 자체가 미립자의 적층원일 수 있다. 세척 플라즈마로부터의 불소는 챔버벽에 흡수되거나 부착될 수 있고 다음 가공처리 단계중에 방출될 수 있다. 피복된 산화 실리콘 시즈닝 층은 흡수된 불소를 덮어버려 다음 가공처리 단계를 오염시킬 가능성을 감소시킨다. 그러나, 산화 실리콘 시즈닝 층을 피복하는 것으로는 예를들어, 무엇보다도 먼저 산화 실리콘을 통한 나트륨의 매우 높은 확산율로 인해 나트륨에 의한 오염을 방지하지 못한다.
따라서, 챔버 성분에 의해 자연스럽게 발생하는 오염원이 피복 챔버 내측으로 도입되는 것을 제어하기 위한 개선된 방법과 장치가 요구되고 있으며, 본 발명은 이러한 오염원의 피복 챔버 내측으로 도입을 제어할 수 있는 개선된 방법과 장치를 제공하고자 하는 것이다.
도 1a는 주요부만을 간단히 도시한 본 발명에 따른 고밀도 화학 증착장치의 실시예를 도시한 수직 횡단면도.
도 1b는 도 1a의 예시적인 CVD 가공처리 챔버와 함께 사용되는 예시적인 시스템 모니터의 다이어그램.
도 1c는 도 1a의 CVD 가공처리 챔버를 제어하기 위해 사용된 공정 제어 컴퓨터 프로그램을 도시한 흐름도.
도 2는 본 발명의 일실시예에 따른 보호 시즈닝 박막을 형성하기 위한 가공처리 단계를 나타내는 흐름도.
도 3은 본 발명의 또 다른 실시예에 따른 보호 시즈닝 막 형성의 가공처리 단계를 도시한 흐름도.
도 4는 본 발명에 따라 보호 시즈닝 막이 형성된 이후에 나트륨 오염원이 감소됨을 도시한 그래프.
* 도면의 주요 부분에 대한 부호의 설명 *
10 : 진공 챔버 12 : 진공 펌프
22 : 측벽 24 : 실링 전극
31 : 시스템 제어기 33 : 메모리
44 : 받침대 70 : 컴퓨터 프로그램
85 :압력 제어 서브루틴 90 : 플라즈마 제어 서브루틴
본 발명에 있어서, 상기 CVD 챔버는 건식 세정 작업 후 그리고, 기판을 챔버내측으로 도입하기 이전에 보호층으로 시즈닝된다. 상기 피복된 시즈닝 층은 챔버 성분의 재료를 덮어서 다음 가공처리 단계에 관여하는 오염원의 자연 발생가능성을 감소시킨다. 시즈닝 층의 피복이 완료된 후에, 상기 챔버는 전술한 바와같은 다른 세정법에 의해 세정되고 재차 시즈닝되기 이전에는 n개의 기판 피복단계에 대해서 하나만이 사용된다.
상기 시즈닝 층은 시즈닝 층을 통한 통상적인 오염물질의 확산율이 산화 실리콘 및 알루미나와 같은 챔버성분의 재료를 통한 확산율보다 훨씬 더 작으므로 챔버 오염을 제어한다. 따라서, 오염물질은 챔버부품의 재료와 보호 시즈닝 층을 통해 확산되어 기판 상에 피복될 박막을 오염시킬 가능성을 감소시킨다. 양호한 시즈닝 층으로는 질화 실리콘(SiN), 산소질화 실리콘(SiON) 및 인-도프 규산 유리(PSG)가 있으며, 이들 각각은 피복 챔버내측으로의 오염물질의 확산을 제한한다. 다른 실시예에서는 산화 실리콘 층과 같은 전조 시즈닝 층이 상기 보호 시즈닝 층을 피복하기 전에 챔버로 도입된다. 상기 보호 시즈닝 층은 챔버 성분에서 보다도 상기 상기 전조 층에 훨씬 더 균일하게 부착됨으로써 오염 제어를 개선하다.
Ⅰ. CVD 시스템
도 1A는 본 발명에 따른 고밀도의 플라즈마 (HDP)CVD 시스템(5)의 유전층이 증착되는 실시예를 도시하고 있다. HDP-CVD 시스템(5)은 진공 챔버(10), 진공 펌프(12), 바이어스 RF(BRF) 발생기(36), 소오스 RF(SRF) 발생기(32)를 포함한다.
진공 챔버(10)는 측벽(22) 및 디스크 형상의 실링 전극(24)으로 구성된 실링(20)을 포함한다. 측벽(22)은 수정 또는 세라믹과 같은 유전체로 제조되며 코일에 감겨진 안테나(26)를 지지한다. 코일에 감긴 안테나(26)의 상세 구조도는 1993년 8월 27일, 페어베른(Fairbairn) 및 노와크(Nowak)에 의해 출원된 고밀도의 플라즈마 CVD 및 에칭 반응기로 제목붙혀진 미국 특허 출원번호 제 08/113,776호에 기술되어 있다.
피복가스 및 액체는 가스 공급 링 매니폴드(16)에 결합되고 전송된 가스 혼합 챔버(29)내부로 도시되지 않은 제어 밸브를 갖는 라인(27)를 통해 가스 공급원(28)으로부터 공급된다. 일반적으로, 각각의 공정 가스에 대한 각각의 가스 공급 라인은 챔버 내부로 공정가스의 흐름을 자동 또는 수동으로 사용될 수 있는 (도시되지 않은) 안전 차단 밸브, 가스 공급 라인을 통해 가스 흐름을 측정하는 총괄 흐름 제어기(MFCs)를 포함한다. 독성 가스가 공정 내에서 사용될 때, 일부 안전 차단 밸브는 종래의 배치에서 각각의 가스 공급 라인 상에 위치된다.
가스 주입 노즐(14)은 가스 공급 링 매니폴드(16)에 결합되고 챔버(10) 내의 받침대(44) 상에 놓여있는 기판(45)에 매니폴드(16) 내부로 주입된 피복가스를 분산시킨다. 부가적으로, (도시되지 않은) 중심 가스 주입 노즐과 (도시되지 않은) 중심 환형은 가스가 기판(45) 위의 챔버(10)에 가스가 유입되도록 한다. 가스 주입 노즐(14), 중심 가스 주입 노즐, 및 중심 환대를 통해 유입된 피복가스는 동일하거나 다른 조성을 갖는다. 0받침대(44)는 (도시되지 않은) 모터에 의해 다양한 처리 위치로 이동된다. 부가적으로, 받침대(44)는 가공처리중에 웨이퍼를 유지시키기 위해 정전기 척 또는 동일한 기구을 함유하고 있으며 냉각 통로를 가지며 다른 특성을 갖는다.
가스 공급 링 매니폴드(16)는 하우징(18) 내에 위치된다. 하우징(18)은 스커트(46)에 의해 시약으로부터 보호된다. 스커트(46)는 HDP-CVD 공정에서 사용되는 시약에 저항력이 있는 수정, 세라믹, 실리콘, 다중 실리콘과 같은 물질로 구성된다. 진공 챔버(10)의 바닥은 환형의 라이너(40)를 포함하며, 상기 라이너 자체는 제거가능하도록 제조된다.
피복가스 중의 유도 결합된 플라즈마는 소오스 RF 발생기(32)로부터 코일에 감겨진 안테나(26)에 인가된 RF 에너지에 의해 기판(45)에 인접하게 형성될 수 있다. 소오스 RF 발생기(32)는 진공 챔버(10) 내부로 유입된 반응물의 분해를 강화시키기 위해 코일에 감겨진 안테나(26)에 단일 또는 혼합된 주파수 RF 전력(또는 다른 소정의 변형물)으로 공급될 수 있다. 상기 방식으로 형성된 플라즈마는 표준 PECVD 반응기와 비교하여 비교적 고밀도(1011~1012ions/㎤)를 갖는다. 피복가스는 화살표(25)에 의해 나타난 것과 같이 배기 라인(23)을 통해 챔버(10)로부터 배출된다. 가스가 배출 라인(23)을 통해 방출되는 속도는 조절 밸브(12a)에 의해 제어된다.
실링 전극(24)은 리드(56)에 의해 정위치에 고정되며, 냉각 재킷(58)에 의해 냉각된다. 실링 전극(24)은 도전체이며, 적절하게 설정된 스위치(38)에 의해 BRF 발생기(36)에 접지되어 연결되거나 연결되지 않는다. 유사하게, 받침대(44)도 적절하게 설정된 스위치(52)에 의해 BRF 발생기(50)에 접지되어 연결되거나 연결되지 않는다. 상기 스위치의 설정은 플라즈마의 소정의 특성에 따라 달라진다. BRF 발생기(36,50)는 개별적인 RF 발생기 이거나, 실링 전극(24) 및 받침대(44)에 연결된 단일 RF 발생기이다. 받침대(44)를 향해 유도 결합된 플라즈마를 편이시키기 위해 BRF 발생기(36,50)로부터 RF 에너지의 인가는 스퍼터링을 증진시키고 플라즈마의 스퍼터링 효과를 강화시킨다(즉, 막의 간극 충전 출력을 증가시킨다).
용량성 결합은 플라즈마를 형성하는데 사용된다. 상기 플라즈마는 실링 전극(24)과 받침대(44) 사이 또는 유사한 형태로 형성된다.
BRF 발생기(36,50), SRF 발생기(32), 조절 밸브(12a), 라인(27)에 연결된 MFCs, 스위치(30,34,38,52), 및 CVD 시스템(5)의 다른 구성 요소는 모두 제어 라인(35) 위의 시스템 제어기(31)에 의해 제어되며, 그중의 일부만이 도시되어 있다. 시스템 제어기(31)는 메모리(33)와 같은 컴퓨터 판독가능한 매체 내에 저장된 컴퓨터 프로그램의 제어하에서 작동하며, 상기 메모리는 바람직한 실시예에서 하드 디스크 드라이브이다. 컴퓨터 프로그램은 시간 조절, 유입 속도, 및 가스 혼합물, 챔버 압력, 챔버 온도, RF 전력 수치, 및 특정 공정의 다른 변수를 탐지한다. 모터 및 광학 센서는 조절 밸브(12a) 및 받침대(44)와 같은 이동가능한 기계 조립체의 위치를 이동시키고 결정하는데 사용된다.
시스템 제어기(44)는 CVD 장치의 모든 활동도를 제어한다. 바람직한 실시예에서, 제어기(31)는 하드 디스크 드라이브(메모리:33), 플로피 디스크 드라이브, 및 카드 랙을 포함한다. 카드 랙은 단일 보오드 컴퓨터(SBC:37), 아나로그 및 디지털 입력/출력 보오드, 인터페이스 보오드 및 스테퍼 모터 제어기 보오드를 포함한다(그 중의 일부만이 도시되어 있다). 시스템 제어기는 보오드, 카드 케이지, 및 연결기 치수 및 형태를 한정하는 VME(Versa Modular European) 표준치를 따른다. VME는 16비트 데이터 버스 및 24비트 어드레스 버스를 갖는 버스 구조물(bus structure)을 한정한다.
시스템 제어기(31)는 하드 디스크 드라이브 상에 저장된 컴퓨터 프로그램의 제어하에서 작동한다. 컴퓨터 프로그램은 시간 조절, 가스 혼합물, RF 전력 수치, 및 특정 공정의 다른 변수를 탐지한다. 사용자와 시스템 제어기 사이의 인터폐이스는 도 1b에 도시된 CRT 모니터(65a)와 라이트 펜(65b)을 경유한다. 바람직한 실시예에서, 두 개의 모니터(65a)가 사용되며, 그중 하나는 오프레이터의 청정실 벽에 장착되며, 다른 하나는 서비스 기술자의 벽 뒤에 장착된다. 두개의 모니터(65a)는 동일한 정보를 동시에 나타내고 있으나, 라이트 펜(65b)만이 가능하다. 라이트펜(65b)은 펜의 팁 내에 광 센서로 CRT 디스플레이에 의해 방출된 광을 탐지한다. 특정 스크린 또는 기능을 선택하기 위해서는, 오프레이터는 디스플레이 스크린의 지정 영역을 터치하고 펜(65b)의 버턴을 누른다. 터치된 영역은 밝은 색으로 바뀌거나, 또는 새로운 메뉴 또는 스크린이 나타나며, 라이트 펜과 디스플레이 스크린 사이의 전달을 확인시킨다.
상기 공정은 시스템 제어기(31)를 작동하는 컴퓨터 프로그램 제품(141)을 사용하여 수행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어 68000 어셈블리 랭귀지, C, C++, 또는 파스칼과 같은 종래의 컴퓨터 판독 프로그램 랭귀지에서 쓰여질 수 있다. 적합한 프로그램 코드는 종래의 텍스트 편집기를 사용한 단일 파일, 또는 다중 파일 내부로 유입되며, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용 매체내에 저장되거나 포함된다. 유입된 코드 텍스트가 고 수준의 랭귀지일 때, 코드는 다른 랭귀지로 번역되며, 최종적인 번역 코드는 미리번역된 윈도우 라이브레리 루틴의 기계 코드와 연결된다. 연결된 번역 기계 코드를 실행하기 위해서는, 시스템 사용자는 기계 코드를 불러내어 컴퓨터 시스템이 메모리에서 코드를 로딩하도록 하며, 메모리로부터 CPU가 판독하며 프로그램내에 확인된 업무를 수행하기 위해 코드를 실행시킨다.
도 1C는 컴퓨터 프로그램(70)의 계층 제어 구조물의 블록선도를 예시하고 있다. 사용자는 라이트펜 인터페이스를 사용함으로써 CRT 모니터상에 나타난 메뉴 또는 스크린에 반응하여 공정 선택기 서브루틴(73) 내부로 공정 세트 수 및 공정 챔버 수로 들어간다. 이러한 공정 세트는 특정 공정을 수행하기 위해 미리결정된 필요한 공정 변수 세트이다. 공정 선택기 서브루틴(73)은 다중챔버 시스템 내에 소정의 공정 챔버, 및 소정의 공정을 시행하기 위한 공정 챔버를 작동하기 위해 필요한 공정 변수의 소정의 세트를 제휴한다. 특정 공정을 수행하기 위한 공정 변수는 공정 가스 조성 및 유량, 온도, 압력, RF 바이어스 전력 수치 및 자기장 전력 수치와 같은 플라즈마 상태, 냉각 가스 압력, 및 챔버 벽 온도와 같은 공정 조건과 관련되어 있으며, 처방된 형태로 사용자에게 제공된다. 처방에 의해 특정화된 변수는 라이트펜/CRT 모니터 인터페이스를 이용하여 유입된다.
공정을 감시하기 위한 신호는 시스템 제어기의 아나로그 입력 보오드 및 디지털 입력 보오드에 의해 제공되며, 공정 제어 신호는 시스템 제어기(31)의 아나로그 출력 및 디지털 출력 보오드 상에서 출력된다.
공정 순차기 서브루틴(75)은 제휴한 공정 챔버를 허용하기 위한 프로그램 코드 및 다양한 공정 챔버의 작업을 제어하기 위해 공정 선택기 서브루틴(73)으로부터 공정 변수 세트로 이루어진다. 다수의 사용자는 공정 세트 수 및 공정 챔버 수에 들어갈 수 있으며, 다수의 공정 세트 수 및 공정 챔버 수에 들어갈 수 있으며, 순차기 서브루틴(75)은 순서에 따라 선택된 공정을 작성하기 위해 작동한다. 바람직하게, 순차기 서브루틴(75)은 챔버가 사용되는 지를 결정하기 위해 공정 챔버의 작업을 측정하고, 사용되는 챔버내에서 수행되는 공정을 결정하고, 공정 챔버의 성능 및 수행되어질 공정 형태에 따라 소정의 공정을 실행하는 단계를 수행하기 위한 프로그램 코드를 포함한다. 종래의 공정 챔버 측정 방법은 폴링법과 같은 방법이 사용될 수 있다. 공정이 실행되는지를 결정할 때, 순차기 서브루틴(75)은 선택된 공정 또는 각각의 특정 사용자의 연령의 소정의 공정 조건과 비교하여 사용되는 공정 챔버의 현재 상태를 고려하여 설계될 수 있거나, 또는 임의의 다른 관련 요소에서 시스템 프로그래머는 스케쥴 우선권을 결정할 것을 요한다.
순차기 서브루틴(75)이 다음에 시행되어질 공정 챔버 및 공정 세트 조합을 결정한 이후에, 순차기 서브루틴(75)은 순차기 서브루틴(75)에 의해 결정된 공정 세트에 따라 공정 챔버(10) 내에 다수의 처리 업무를 제어하는 챔버 매니저 서브루틴(77a-c)에 특정 공정 세트 변수를 통과시킴으로써 공정 세트의 실행을 초래한다. 에를 들어, 챔버 매니저 서브루틴(77a)은 공정 챔버(10) 내의 스퍼터링 및 CVD 공정 작업을 제어하기 위한 프로그램 코드를 포함한다. 챔버 매니저 서브루틴(77a)은 선택된 공정 세트를 수행하기 위해 필요한 챔버 성분의 작동을 제어하는 다양한 챔버 성분 서브루틴의 실행을 또한 제어한다.
챔버 성분 서브루틴의 실시예는 기판 위치선정 서브루틴(87), 공정 가스 제어 서브루틴(83), 압력 제어 서브루틴(85), 가열기 제어 서브루틴(87), 및 플라즈마 제어 서브루틴(90) 등이다. 본 발명의 당업자들은 또 다른 챔버 제어 서브루틴이 진공 챔버(10)내에서 수행되어지는 공정에 따라 달라질 수 있음을 인지할 수 있을 것이다. 작동중에, 챔버 매니저 서브루틴(77a)은 실행되어질 특정 공정에 따라 공정 성분 서브루틴을 선택적으로 작성할 수 있다. 챔버 매니저 서브루틴(75)에 의한 작성은 실행되어질 공정 챔버(10) 및 공정 세트가 작성한 대로 순차기 서브루틴(75)에 의해 사용되어진 것과 유사한 방식으로 수행될 수 있다. 일반적으로, 챔버 매니저 서브루틴(77a)은 다양한 챔버 성분을 측정하는 단계와, 성분이 실행되어질 공정 세트용 공정 변수에 기초하여 작동되어질 필요를 결정하는 단계와, 상기 측정 및 결정 단계에 대한 챔버 성분 서브루틴의 실행을 초래하는 단계를 포함한다.
특정 챔버 성분 서브루틴의 작동은 도 1C를 참조하여 기술되어질 것이다. 기판 위치선정 서브루틴(80)은 받침대(44) 상에 기판을 적재하고 상기 받침대를 처리 위치에서 이동시키기 위해 사용되는 챔버 성분을 제어하기 위한 프로그램 코드를 포함한다. 기판 위치선정 서브루틴(80)은 다른 처리 단계가 완료된 이후에 다중 챔버 시스템 내의 PECVD 반응기 또는 다른 반응기와 같은 반응기로부터 챔버(10) 내부로 기판의 전달을 제어한다.
공정 가스 제어 서브루틴(83)은 공정 가스 조성 및 유량을 제어하기 위한 프로그램 코드를 갖는다. 서브루틴(83)은 안전 차단 밸브의 개폐 위치를 제어하고 소정의 가스 유량을 얻기 위해 총괄 흐름 제어기를 상승 또는 하강시킨다. 공정 가스 제어 서브루틴(83)을 포함한 모든 챔버 성분 서브루틴(77a)에 의해 실행된다. 서브루틴(83)은 소정의 가스 유량에 관련된 챔버 매니저 서브루틴으로부터 공정 변수를 수용한다.
일반적으로, 공정 가스 제어 서브루틴(83)은 가스 공급 라인을 개방하고, 반복하여 필요한 총괄 흐름 제어기를 판독하고, 챔버 매니저 서브루틴(77a)으로부터 수용된 소정의 유량과 판독수치를 비교하고, 필요에 따라 가스 공급 라인의 유량을 조절하는 단계를 포함한다. 더욱이, 공정 가스 제어 서브루틴(83)은 위험 속도에 대한 가스 유량을 측정하고, 위험 상태가 탐지될 때 안전 차단 밸브를 활성화시키는 단계를 포함한다.
일부 공정에 있어서, 반응성 공정 가스가 챔버 내부로 유입되기 이전에 챔버 내의 압력을 안정화시키기 위해 아르곤과 같은 비활성 가스가 챔버(10) 내부로 흐른다. 상기 공정에 대해, 공정 가스 제어 서브루틴(83)은 챔버 내의 압력을 안정화시키기 위해 필요한 시간동안 챔버(10) 내부로 비활성 가스가 흐르는 단계를 포함한다.
부가적으로, 공정 가스가 액체 전조 가스로부터 기화될 때, 공정가스 제어 서브루틴(83)은 버블러 조립체내의 액체 전조가스를 통해 헬륨과 같은 전달 가스를 기포를 발생시키기 위한 단계를 포함한다. 상기 형태의 공정에 있어서, 공정 가스 제어 서브루틴(83)은 소정의 가스 유량을 얻기 위해 전달 가스의 흐름, 버블러 내의 압력, 및 버블러 온도를 측정한다. 전술한 바와 같이, 소정의 공정 가스 유량은 공정 변수와 같이 공정 가스 제어 서브루틴(83)에 전달된다.
더욱이, 공정 가스 제어 서브루틴(83)은 소정의 가스 유량에 필요한 수치를 얻기 위해 포함된 저장된 수치에 접근함으로써 소정의 공정가스 유량의 필요한 전달 가스 유량, 버블러 압력, 및 버블러 온도를 얻기 위한 단계를 포함한다. 필요한 수치가 얻어지게 되면, 전달 가스 유량, 버블러 압력, 및 버블러 온도가 측정되며, 필요한 수치와 비교되어 적절하게 조절된다.
공정 가스 제어 서브루틴(83)은 웨이퍼 척 내의 내부 및 외부 통로를 통해 헬륨과 같은 열 전달 가스의 흐름을 또한 제어한다. 가스 흐름은 척에 기판을 열 결합시킨다. 일반적인 공정에 있어서, 웨이퍼는 플라즈마와 층을 형성하는 화학 반응에 의해 가열되며, 헬륨은 척을 통해 기판을 냉각시킨다. 이는 기판 위의 특성물에 손상을 가하는 온도 이하로 기판을 유지시킨다.
압력 제어 서브루틴(85)은 챔버의 배기부 내의 조절 밸브(12a)의 개구의 크기를 조절함으로써 챔버(10) 내의 압력을 제어하기 위한 프로그램 코드를 포함한다. 조절 밸브(12a) 개구의 크기는 총괄 공정 가스 흐름, 공정 챔버의 크기, 배기 시스템의 펌프 설정 압력과 관련하여 소정의 수치로 챔버 압력을 제어하기 위해 설정된다. 압력 제어 서브루틴(85)이 실행될 때, 소정의 목표치 압력 수치는 챔버 매니저 서브루틴(77a)으로부터 변수로 수용된다. 압력 제어 서브루틴(147)은 챔버에 연결된 하나 이상의 종래의 압력계를 판독함으로써 챔버(10) 내의 압력을 측정하고, 측정치를 목표치와 비교하고, 목표치 압력과 대응되는 저장된 압력 목록으로부터 비례, 적분, 미분(PID) 수치를 얻고, 압력 목록으로부터 얻어진 PID 수치에 따른 조절 밸브(12a)를 조절하기 위해 작동한다. 선택적으로, 압력 제어 서브루틴(85)은 소정의 압력을 얻기 위해 챔버(10)를 조절하기 위해 특정 개구 크기에 조절 밸브(12a)를 개폐함으로써 기록될 수 있다.
플라즈마 제어 서브루틴(90)은 챔버 내에 발생된 자기장의 수치를 설정하기위해 선택적으로 챔버(10) 내에 RF 발생기(32,36,50)에 인가된 RF 전력 수치를 설정하기 위한 프로그램 코드를 포함한다. 전술한 챔버 성분 서브루틴과 같은 플라즈마 제어 서브루틴(90)은 챔버 매니저 서브루틴(77A)에 의해 실행된다.
3개의 유도결합된 배열중의 각각의 배열과 유도결합된 결합과 관련된 특정 상술의 잇점을 갖는 HDP-CVD 장치의 실시예는 1994년 4월 26일 노와크, 페어베른, 및 레데커에 의해 출원된 결합된 유도 및 용량 커플링을 갖는 고 밀도 플라즈마 CVD 반응기로 제목붙혀진 미국 특허 출원 제 08/234,746호에 기술되어 있다.
전술한 실시예 및 세부사항은 본 발명을 이것에 국한시키려는 것이 아니다. 받침대 설계, 챔버 설계, RF 전력 연결부 및 다른 변형물과 같은 변형예가 가능하. 부가적으로, 전자 사이클로트론 공명(ECR) 플라즈마 CVD 장치, 열 CVD 장치, PECVD 시스템, 스퍼터링 시스템 등과 같은 다른 시스템은 본 발명의 잇점을 인지시킬 수 있다. 본 발명의 방법 및 장치는 임의의 특정 기판 처리 시스템으로 제한되지 않는다.
Ⅱ. 보호 시즈닝 층의 피복
본 발명은 전술된 챔버와 같은 기판 가공 처리 챔버의 내부의 성분으로부터 기판 처리시에 발생하는 오염원의 양을 감소시키기 위해 사용된다. 오염원의 감소는 오염원의 공급원일 수 있는 챔버 내부의 벽과 유전체 영역위에 보호 시즈닝 층을 피복함으로써 얻어진다. 본 발명에 따라 형성된 보호 시즈닝 층은 챔버 성분과 관련하여 오염원의 확산 속도가 낮으며, 기판 처리시에 오염원 수치가 감소된다.
도 2는 본 발명에 따른 실리콘 질화물의 보호 시즈닝 층을 증착하기 위한 바람직한 공정을 나타내고 있다. 상기 공정은 CVD 시스템(5)의 메모리(33) 내에 저장된 컴퓨터 프로그램을 사용하여 수행되며 제어된다. 바람직한 실시예에서, 보호 시즈닝 층은 NF3와 같은 식각제 가스가 이전의 피복단계(단계 206)로부터 챔버 벽상에 증착된 재료를 제거하기 위해 챔버 내부로 유입되는 표준 챔버 정화 작업(단계 200)이 완료된 이후에 증착된다. 시즈닝 층의 피복제조시에, 챔버는 40mTorr의 설정점에서 가압된다(단계 202). 시즈닝 단계(204)에서, 공급원 발생기는 2500W이며 바이어스 발생기는 0W이다. 질소(N2) 및 실란(SiH4)을 포함하는 공정 가스는 질화 실리콘(SiN) 보호 시즈닝 층을 증착하기 위해 챔버 내부로 유입된다. 질소는 120sccm의 속도로 유입되는 반면, 실란은 90sccm의 속도로 유입된다. 시즈닝 단계(204)는 바람직하게 100초동안 수행된다. 시즈닝 단계(204)가 완료된 이후에, 챔버 성분과 관련된 통상의 오염원에 대해 보다 낮은 확산율을 갖는 질화 실리콘(SiN) 보호 시즈닝 층으로 상기 챔버가 피복되며, 기판 처리시에 오염원 수치를 감소시킨다. 예시된 시즈닝 단계는 공정 챔버 내부로 시즈닝 층과 같은 실리콘 질화물을 도입시킨다. 그러나, 산소질화 실리콘(SiON) 및 인-도프 규산 유리(PSG)와 같은 다른 시즈닝 층의 각각은 피복챔버 내부로 오염원의 확산을 제한하며, 질화 실리콘(SiN)으로 대체된다. 시즈닝 단계(204) 이후에, 기판 처리는 챔버가 정화되고 다시 시즈닝 처리되어질 때까지 상기 단계(206)에서 수행될 수 있다.
도 3은 본 발명의 대안의 공정을 나타낸 것으로서, 도 2에 기술된 시즈닝층이 증착되기 이전에 챔버 내에 전조층이 증착된다. 상기 공정은 CVD 시스템(5)의 메모리(33) 내에 저장된 컴퓨터 프로그램을 사용하여 다시 수행되고 제어된다. 초기 단계(300)에서, 챔버는 전술되어진 것과 같이 정화된다(단계 200). 챔버는 40 mTorr의 설정점에서 다시 가압된다(단계 302). 챔버를 보호층으로 직접 시즈닝하지 않고 산화 실리콘(SiO2)의 전조층이 먼저 챔버로 유입된다(단계304). 이때, 소오스 발생기는 4500W이며 바이어스 발생기는 1600W이다. 아르곤(Ar), 산소(O2), 및 실란(SiH4)을 포함하는 가공처리 가스는 챔버 내부로 유입된다. 아르곤은 20 sccm의 속도에서 유입되며 산소는 140sccm의 속도에서 유입되며 실란은 90sccm의 속도에서 유입된다. 전조 피복단계(304)는 바람직하게 30초동안 수행된다. 챔버 내에 산화 실리콘(SiO2) 전조층이 증착된 이후에, 시즈닝 층은 단계(306)에서 전조 가스 위의 챔버 내부로 증착되며, 도 2에 전술되어진 계수와 동일하다(단계 204). 전조 가스의 증착은 다음과 같은 잇점을 제공한다. 상기 단계(306)에서 증착된 보호 시즈닝 층은 챔버 성분에서보다 하부의 전조 층에 보다 균일하게 부착된다. 따라서, 보호 시즈닝 층은 챔버 성분 위에서보다 전조층위로 증착될 때 덜 부서져, 오염원 제어를 개선시킨다. 산소질화 실리콘(SiON) 및 인-도프 규산 유리(PSG)와 같은 다른 보호 시즈닝층은 실리콘 질화물의 정위치의 챔버 내부로 증착된다. 시즈닝 단계(306) 다음에, 기판 가공 처리는 챔버가 정화되고 다시 시즈닝될 때까지 상기 단계(308)에서 수행된다.
도 4는 본 발명에 따른 보호 시즈닝 막이 형성된 이후에 나트륨 오염원이 감소되는 그래프(400)가 도시되어 있다. 세로축은 실리콘 질화물 보호 시즈닝 층의 시즈닝 시간(초)의 함수로서 기판 가공처리 챔버의 나트륨 함량을 도시하고 있다. 나트륨 함량은 SIMS 분석을 수행함으로서 측정된다. 질화 실리콘 시즈닝 층이 챔버 내에서 증착되지 않는다면, 지점(402)의 나트륨 함량은 약 6.0×1011원자/㎠이다. 일부 적용예에서 가공 처리 챔버 내의 나트륨 함량의 수치는 허용되지 않으며 장치 성능 저하를 초래할 수 있다. 많은 적용예에서 허용가능한 나트륨 함량 수치는 1.0×1011원자/㎠ 이하이다. 도 2에 기술되어진 공정에 따른 약 30초의 질화 실리콘(SiN) 시즈닝 이후에, 대략 1.2×1011원자/㎠로 낮아진 챔버 내의 나트륨 함량을 나타낸 지점(404)이 도시되어 있으며, 함량 범위가 거의 허용범위내에 있다. 100초의 질화 실리콘(SiN) 시즈닝 이후에 대략 5.0×1010원자/㎠로 낮아진 챔버 내의 나트륨 함량을 나타낸 지점(406)이 도시되어 있으며, 함량 수치가 허용가능한 범위 내에 있다. 부가적인 시즈닝은 지점(408)에 도시되어진 것처럼, 허용가능한 나트륨 함량 수치를 유지하며, 나트륨 함량은 300초동안 질화 실리콘(SiN)으로 챔버를 시즈닝 한 후에 대략 5.0×1010원자/㎠ 이하로 일정하게 유지된다.
도 3에 도시된 공정에 따른 전조 시즈닝 층을 형성한 효과를 그래프(400)에 도시하고 있다. 100초 동안의 질화 실리콘(SiN) 시즈닝 이후에 수반된 전조 SiO2를 증착한 이후, 챔버 내의 지점(410)의 나트륨 함량은 1.0×1011원자/㎠이다. 전조체 SiO2층의 챔버의 나트륨 함량은 전조 가스(지점 406)가 없는 것보다 더 높으나, 전조 가스는 보호 시즈닝 층이 챔버 성분보다 하부의 전조층에 보다 균일하게 부착되는 전술한 장점을 제공한다. 따라서, 보호 시즈닝 층은 챔버 성분 바로 위에서보다 전조층위로 증착될 때 덜 파손되며, 오염원의 제어를 개선시킨다. 전조 가스위로 질화 실리콘(SiN)을 증착할 때 시즈닝 시간 내에 증가되는 개선점을 지점(412)에 도시하고 있다. 전조 SiO2층위로 300초 동안의 질화 실리콘(SiN) 시즈닝 이후에, 나트륨 함량은 대략 7.0×1010원자/㎠이다. 전술한 수치는 HDP-CVD 챔버 내에서 측정되며, 다른 챔버에서 나트륨의 함량은 변할 수 있다.
본 발명은 특정 실시예를 참조로 하여 기술되어질 것이며, 또 다른 실시예가 있음을 당업자들은 인지할 것이다. 본 발명은 첨부된 청구범위에 의해 제한되지 않는다.
산화 실리콘 시즈닝 층을 피복함으로써 챔버 성분에 의해 발생하는 오염원이 피복 챔버 내측으로 도입되는 것을 제어할 수 있다.

Claims (20)

  1. 오염원 함유 성분을 갖는 내부면을 구비한 기판 가공처리 챔버를 처리하기 위한 방법에 있어서,
    상기 챔버 내부로 가공 처리 가스를 도입시킴으로서 상기 챔버 내부면의 적어도 일부분을 덮는 보호 시즈닝 막을 피복하는 단계를 포함하며,
    상기 오염원은 상기 챔버 내부면의 성분에서보다 상기 보호 시즈닝 막에서 더 낮은 확산 속도를 갖는 방법.
  2. 제 1항에 있어서, 상기 보호 시즈닝 막은 질화 실리콘(SiN)을 포함하는 방법.
  3. 제 1항에 있어서,상기 보호 시즈닝 막은 산소질화 실리콘(SiON)을 포함하는 방법.
  4. 제 1항에 있어서, 상기 보호 시즈닝 막은 인-도프 규산 유리(PSG)를 포함하는 방법.
  5. 제 1항에 있어서, 상기 오염원은 나트륨(Na)을 포함하는 방법.
  6. 제 1항에 있어서, 상기 오염원은 리튬(Li)을 포함하는 방법.
  7. 제 1항에 있어서, 상기 오염원은 칼륨(K)을 포함하는 방법.
  8. 제 1항에 있어서, 상기 보호 시즈닝층을 증착하기 이전에 상기 챔버 내부로 가공처리 가스를 도입시킴으로써 상기 챔버 내부면의 적어도 일부를 덮는 상기 전조 시즈닝 막을 증착하는 단계를 더 포함하는 방법.
  9. 제 8항에 있어서, 상기 전조 시즈닝 막은 비도프 산화 실리콘(SiO2)인 방법.
  10. 하나 이상의 집적 회로를 제조하기 위한 방법에 있어서,
    오염원 함유 성분을 갖는 내부면을 구비한 기판 가공처리 챔버를 제공하는 단계와,
    상기 챔버 내부로 가공처리 가스를 도입시킴으로써 상기 챔버 내부면의 적어도 일부분을 덮는 보호 시즈닝 박막을 증착하는 단계와,
    상기 챔버 내부로 하나 이상의 집적 회로가 형성된 기판을 전달하는 단계와,
    상기 기판 위로 층을 피복하기 위해 상기 챔버 내부로 피복 가스를 도입시키는 단계를 포함하며,
    상기 오염원은 상기 챔버 내부면의 성분에서보다 상기 보호 시즈닝 막에서 더 낮은 확산 속도를 갖는 방법.
  11. 제 10항에 있어서, 적어도 하나의 기판을 가공처리한 이후에, 상기 챔버 내부면으로부터 상기 보호 시즈닝 막을 에칭하는 단계를 더 포함하는 방법.
  12. 제 10항에 있어서, 상기 보호 시즈닝 막은 질화 실리콘(SiN)을 포함하는 방법.
  13. 제 10항에 있어서,상기 보호 시즈닝 막은 산소 질화 실리콘(SiON)을 포함하는 방법.
  14. 제 10항에 있어서, 상기 보호 시즈닝 막은 인-도프 규산 유리(PSG)를 포함하는 방법.
  15. 제 10 항에 있어서, 상기 오염원은 나트륨(Na)을 포함하는 방법.
  16. 제 10항에 있어서, 상기 오염원은 리튬(Li)을 포함하는 방법.
  17. 제 10항에 있어서, 상기 오염원은 칼륨(K)을 포함하는 방법.
  18. 제 10항에 있어서, 상기 보호 시즈닝층을 증착하기 이전에 상기 챔버 내부로 가공처리 가스를 도입시킴으로써 상기 챔버의 상기 내부면의 적어도 일부를 덮는 상기 전조 시즈닝 막을 증착하는 단계를 더 포함하는 방법.
  19. 제 18항에 있어서, 상기 전조 시즈닝 막은 산화 실리콘(SiO2)인 방법.
  20. 기판 가공 처리 장치에 있어서,
    오염원 함유 성분을 갖는 내부면을 구비한 진공 챔버를 형성하는 하우징,
    기판을 고정하기 위해 상기 하우징 내에 위치된 기판 고정기,
    상기 진공 챔버 내부로 가공처리 가스를 도입시키기 위한 가스 전달 시스템,
    상기 가스 전달 시스템, 온도 제어 시스템, 및 압력 제어 시스템을 제어하기 위한 제어기, 및
    상기 화학 증착(CVD) 시스템의 작동을 지시하기 위해 상기 시스템 내부에 컴퓨터 판독 프로그램을 갖는 컴퓨터 판독 매체로 이루어지는 상기 제어기에 연결된 메모리를 포함하며,
    상기 컴퓨터 판독 프로그램은 상기 챔버 내부로 상기 가공처리 가스를 도입시킴으로써 상기 챔버 내부면의 적어도 일부분을 덮는 보호 시즈닝 막을 증착하기 위한 지시 세트를 포함하며, 상기 오염원은 상기 챔버 내부면의 성분에서보다 상기 보호 시즈닝 막에서 더 낮은 확산 속도를 갖는 기판 가공처리 장치.
KR1019980021631A 1997-06-11 1998-06-11 챔버내에시즈닝박막을증착하여hdp-cvd챔버내의이동성이온및금속오염물질을제거하기위한방법및장치 KR100562208B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US87272297A 1997-06-11 1997-06-11
US8/872,722 1997-06-11
US08/872,722 1997-06-11

Publications (2)

Publication Number Publication Date
KR19990006869A true KR19990006869A (ko) 1999-01-25
KR100562208B1 KR100562208B1 (ko) 2006-05-25

Family

ID=25360177

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980021631A KR100562208B1 (ko) 1997-06-11 1998-06-11 챔버내에시즈닝박막을증착하여hdp-cvd챔버내의이동성이온및금속오염물질을제거하기위한방법및장치

Country Status (6)

Country Link
US (1) US6121161A (ko)
EP (1) EP0884401B1 (ko)
JP (1) JPH1116845A (ko)
KR (1) KR100562208B1 (ko)
DE (1) DE69815951T2 (ko)
TW (1) TW460943B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100351984B1 (ko) * 1999-12-29 2002-09-12 주식회사 하이닉스반도체 화학기상증착장비의 이물감소방법
KR100601034B1 (ko) * 2004-04-21 2006-07-14 주식회사 아이피에스 박막 증착 방법
KR100800865B1 (ko) * 2006-12-27 2008-02-04 동부일렉트로닉스 주식회사 증착 챔버의 클리닝 방법
KR20160062370A (ko) * 2014-11-25 2016-06-02 주식회사 원익아이피에스 반도체 소자의 제조방법
KR20180044214A (ko) * 2016-10-21 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 가열기 상의 알루미늄 플루오르화물 축적을 방지하기 위한 기법
KR20210034862A (ko) * 2019-09-23 2021-03-31 주식회사 원익아이피에스 박막 형성 방법

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030143410A1 (en) * 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US6114258A (en) * 1998-10-19 2000-09-05 Applied Materials, Inc. Method of oxidizing a substrate in the presence of nitride and oxynitride films
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
ATE418158T1 (de) * 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
DE19959604A1 (de) * 1999-12-10 2001-06-13 Volkswagen Ag Reaktor für Plasmabeschichtungen und Plasmadiffusionsbehandlungen
KR20010066284A (ko) * 1999-12-31 2001-07-11 황인길 박막 증착 공정에 있어서 웨이퍼별 두께 변화 방지 방법
US6833079B1 (en) * 2000-02-17 2004-12-21 Applied Materials Inc. Method of etching a shaped cavity
WO2001078126A2 (en) * 2000-04-07 2001-10-18 Philips Semiconductors, Inc. A method of passivating a metal line on a wafer
US6465365B1 (en) * 2000-04-07 2002-10-15 Koninklijke Philips Electronics N.V. Method of improving adhesion of cap oxide to nanoporous silica for integrated circuit fabrication
WO2001088969A2 (en) * 2000-05-16 2001-11-22 Applied Materials, Inc. Improved capacitor electrodes
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6544895B1 (en) * 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
AU2002230793A1 (en) * 2000-10-31 2002-05-15 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US6363624B1 (en) 2000-11-21 2002-04-02 Applied Materials, Inc. Apparatus for cleaning a semiconductor process chamber
US6479098B1 (en) * 2000-12-26 2002-11-12 Taiwan Semiconductor Manufacturing Company Method to solve particle performance of FSG layer by using UFU season film for FSG process
US6403501B1 (en) * 2000-12-27 2002-06-11 Novellus Systems, Inc. Method of controlling FSG deposition rate in an HDP reactor
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
WO2002068710A1 (de) * 2001-02-26 2002-09-06 Unaxis Balzers Aktiengesellschaft Verfahren zur herstellung von teilen und vakuumbehandlungssystem
US6516814B2 (en) * 2001-05-03 2003-02-11 Silicon Integrated Systems Corp. Method of rapid prevention of particle pollution in pre-clean chambers
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
KR100825130B1 (ko) 2001-07-06 2008-04-24 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6815007B1 (en) * 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
JP3748837B2 (ja) * 2002-07-11 2006-02-22 松下電器産業株式会社 半導体装置の製造方法
CN100389482C (zh) * 2002-11-11 2008-05-21 株式会社日立国际电气 基板处理装置
JP4430918B2 (ja) * 2003-03-25 2010-03-10 東京エレクトロン株式会社 薄膜形成装置の洗浄方法及び薄膜形成方法
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7288284B2 (en) * 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
JP4541864B2 (ja) * 2004-12-14 2010-09-08 東京エレクトロン株式会社 シリコン酸窒化膜の形成方法、形成装置及びプログラム
DE102005001651A1 (de) * 2005-01-10 2006-07-20 Infineon Technologies Ag Ätzanlage
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
JP5371425B2 (ja) * 2005-06-02 2013-12-18 アプライド マテリアルズ インコーポレイテッド 酸化物膜に窒素を組込むための方法及び装置
JP4492963B2 (ja) * 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
JP4712632B2 (ja) * 2006-07-24 2011-06-29 太陽誘電株式会社 弾性波デバイス及びその製造方法
JP2009130229A (ja) * 2007-11-27 2009-06-11 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
KR20100129311A (ko) * 2008-03-31 2010-12-08 도쿄엘렉트론가부시키가이샤 질화규소막의 제조 방법, 질화규소막 적층체의 제조 방법, 컴퓨터 판독 가능한 기억 매체, 및 플라즈마 cvd 장치
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
EP2422359A4 (en) * 2009-04-20 2013-07-03 Applied Materials Inc REINFORCED ABSORPTION OF RESTFLUORRADIKALEN WITH THE HELP OF A SILICONE COATING ON PROCESS CHAMBER WALLS
FR2947283B1 (fr) * 2009-06-24 2011-07-01 Commissariat Energie Atomique Procede d'elimination de lithium metallique
TWI512981B (zh) 2010-04-27 2015-12-11 Semiconductor Energy Lab 微晶半導體膜的製造方法及半導體裝置的製造方法
US8999847B2 (en) 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
CN102560416B (zh) * 2010-12-30 2014-06-04 中芯国际集成电路制造(上海)有限公司 一种在晶片上生长含碳薄膜的方法
CN102877041B (zh) * 2011-07-14 2014-11-19 中国科学院微电子研究所 薄膜沉积方法以及半导体器件制造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103255388B (zh) * 2013-05-23 2015-07-08 上海华力微电子有限公司 一种磷酸硅玻璃薄膜的等离子体化学气相沉积方法
CN103560080A (zh) * 2013-11-13 2014-02-05 上海华力微电子有限公司 降低高密度等离子体磷硅玻璃颗粒的方法
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9299558B2 (en) 2014-03-21 2016-03-29 Applied Materials, Inc. Run-to-run stability of film deposition
DE102014205695B4 (de) 2014-03-27 2016-01-28 Christof-Herbert Diener Niederdruckplasmaanlage mit sequentieller Steuerung
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
TWI567823B (zh) * 2014-12-22 2017-01-21 群創光電股份有限公司 顯示面板與其製造方法
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
JP6475609B2 (ja) * 2015-12-28 2019-02-27 信越半導体株式会社 エピタキシャルウェーハの製造方法
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN111919284A (zh) * 2018-03-01 2020-11-10 应用材料公司 在器件制造中形成金属硬掩模的系统和方法
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
CN112166497B (zh) * 2018-06-22 2021-12-21 应用材料公司 半导体晶片处理中最小化晶片背侧损伤的方法
KR20220024997A (ko) * 2019-06-26 2022-03-03 램 리써치 코포레이션 인 시츄 패시베이션을 통한 챔버-축적 확장
US20210159048A1 (en) * 2019-11-25 2021-05-27 Applied Materials, Inc. Dual rf for controllable film deposition
CN115053015A (zh) * 2020-02-07 2022-09-13 朗姆研究公司 处理室的原位表面涂层
US11646216B2 (en) * 2020-10-16 2023-05-09 Applied Materials, Inc. Systems and methods of seasoning electrostatic chucks with dielectric seasoning films
JP2023550483A (ja) * 2020-11-20 2023-12-01 アプライド マテリアルズ インコーポレイテッド リチウム処理設備のための洗浄材料及びプロセス

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3591827A (en) * 1967-11-29 1971-07-06 Andar Iti Inc Ion-pumped mass spectrometer leak detector apparatus and method and ion pump therefor
US4099924A (en) * 1977-03-16 1978-07-11 Rca Corporation Apparatus improvements for growing single crystalline silicon sheets
JPS5892218A (ja) * 1981-11-28 1983-06-01 Semiconductor Energy Lab Co Ltd 半導体装置作製方法
JPS5892217A (ja) * 1981-11-28 1983-06-01 Semiconductor Energy Lab Co Ltd 半導体装置作製方法
US4579080A (en) * 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
DE3874638T2 (de) * 1987-07-16 1993-03-18 Texas Instruments Inc Behandlungsapparat und -verfahren.
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
KR0145302B1 (ko) * 1988-04-28 1998-08-17 카자마 젠쥬 얇은 막의 형성방법
JP2708533B2 (ja) * 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
JPH03130368A (ja) * 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
EP0420596B1 (en) * 1989-09-26 1996-06-19 Canon Kabushiki Kaisha Gas feeding device and deposition film forming apparatus employing the same
US5281964A (en) * 1990-02-26 1994-01-25 Matsushita Electric Industrial Co., Ltd. Traffic flow change monitoring system
US5045346A (en) * 1990-07-31 1991-09-03 Gte Laboratories Incorporated Method of depositing fluorinated silicon nitride
US5304405A (en) * 1991-01-11 1994-04-19 Anelva Corporation Thin film deposition method and apparatus
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
JPH06101462B2 (ja) * 1991-04-30 1994-12-12 インターナショナル・ビジネス・マシーンズ・コーポレイション 過フッ化炭化水素ポリマ膜を基板に接着する方法および 基板
US5201990A (en) * 1991-05-23 1993-04-13 Applied Materials, Inc. Process for treating aluminum surfaces in a vacuum apparatus
US5221414A (en) * 1991-07-16 1993-06-22 Micron Technology, Inc. Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber
DE4130882C1 (ko) * 1991-09-17 1993-01-07 Kloeckner-Moeller Gmbh, 5300 Bonn, De
JP3253734B2 (ja) * 1992-06-19 2002-02-04 富士通株式会社 半導体装置製造用の石英製装置
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
FR2695410B1 (fr) * 1992-09-04 1994-11-18 France Telecom Procédé de prétraitement d'un substrat pour le dépôt sélectif de tungstène.
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5486235A (en) * 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
EP0648861A1 (en) * 1993-10-15 1995-04-19 Applied Materials, Inc. Semiconductor processing apparatus
DE69424759T2 (de) * 1993-12-28 2001-02-08 Applied Materials Inc Gasphasenabscheidungsverfahren in einer einzigen Kammer für Dünnfilmtransistoren
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100351984B1 (ko) * 1999-12-29 2002-09-12 주식회사 하이닉스반도체 화학기상증착장비의 이물감소방법
KR100601034B1 (ko) * 2004-04-21 2006-07-14 주식회사 아이피에스 박막 증착 방법
KR100800865B1 (ko) * 2006-12-27 2008-02-04 동부일렉트로닉스 주식회사 증착 챔버의 클리닝 방법
KR20160062370A (ko) * 2014-11-25 2016-06-02 주식회사 원익아이피에스 반도체 소자의 제조방법
KR20180044214A (ko) * 2016-10-21 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 가열기 상의 알루미늄 플루오르화물 축적을 방지하기 위한 기법
KR20210034862A (ko) * 2019-09-23 2021-03-31 주식회사 원익아이피에스 박막 형성 방법

Also Published As

Publication number Publication date
JPH1116845A (ja) 1999-01-22
DE69815951D1 (de) 2003-08-07
US6121161A (en) 2000-09-19
TW460943B (en) 2001-10-21
KR100562208B1 (ko) 2006-05-25
EP0884401A1 (en) 1998-12-16
DE69815951T2 (de) 2003-12-24
EP0884401B1 (en) 2003-07-02

Similar Documents

Publication Publication Date Title
KR100562208B1 (ko) 챔버내에시즈닝박막을증착하여hdp-cvd챔버내의이동성이온및금속오염물질을제거하기위한방법및장치
KR100798552B1 (ko) 화학 기상 증착된 필름의 인-시츄 후증착 표면 부동태화 방법
KR100611610B1 (ko) Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절
US6068729A (en) Two step process for cleaning a substrate processing chamber
US6125859A (en) Method for improved cleaning of substrate processing systems
US6318384B1 (en) Self cleaning method of forming deep trenches in silicon substrates
US6449521B1 (en) Decontamination of a plasma reactor using a plasma after a chamber clean
KR100562206B1 (ko) 최적의낮은유전상수hdp-cvd처리를위한처방단계의시퀀싱
US5926743A (en) Process for chlorine trifluoride chamber cleaning
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
EP1028175A1 (en) Accelerated plasma cleaning
KR19980086699A (ko) 테트라에틸오르토시레인 및 오존 실리콘 산화물의 표면 감도 감소 방법 및 장치
KR100527811B1 (ko) Cvd챔버내의과도한알루미늄축적을최소화하기위한방법및장치
KR100297421B1 (ko) 이산화규소막의불소화에의한응력제어방법및기판처리시스템
JP4511721B2 (ja) インサイチュプレクリーニングステップを含むウェーハ上のチタン化学気相堆積法
US6083569A (en) Discharging a wafer after a plasma process for dielectric deposition
EP1458904A1 (en) Process for tungsten deposition by pulsed gas flow cvd

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120228

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee