CN115053015A - 处理室的原位表面涂层 - Google Patents

处理室的原位表面涂层 Download PDF

Info

Publication number
CN115053015A
CN115053015A CN202180013050.1A CN202180013050A CN115053015A CN 115053015 A CN115053015 A CN 115053015A CN 202180013050 A CN202180013050 A CN 202180013050A CN 115053015 A CN115053015 A CN 115053015A
Authority
CN
China
Prior art keywords
process chamber
coating material
flow
operating conditions
reactor system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180013050.1A
Other languages
English (en)
Inventor
杰弗里·沃马克
卡尔·弗雷德里克·利瑟
柯蒂斯·W·贝利
基思·约瑟夫·马丁
里格尔·马丁·布鲁宁
尼克·雷·小莱恩巴格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115053015A publication Critical patent/CN115053015A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

一种反应器系统包括处理室、气体入口和分配器。分配器耦合到气体入口。分配器控制从管形瓶到气体入口的气流。管形瓶包括涂层材料,涂层材料当在反应系统的操作条件下在处理室内释放时,涂覆处理室的内壁。

Description

处理室的原位表面涂层
优先权主张
本申请要求于2020年2月7日申请的美国专利申请序列No.62/971,779的优先权利益,其全部内容通过引用合并于此。
技术领域
本公开总体上涉及一种用于室的原位表面涂覆的方法,并且具体地涉及用于在处理室的操作期间涂覆室的内表面的系统和方法。
背景技术
随着半导体工艺处理在半导体衬底上限定的更小的几何结构,保持关键尺寸的均匀性和准确性变得越来越困难。此外,处理室内的环境保持一致以确保可接受的晶片与晶片之间的关键尺寸可变性变得越来越重要。如本领域已知的,在半导体处理室中执行的许多工艺在处理室的内表面上留下沉积物。随着这些沉积物随时间推移而积累,它们可能成为对正在处理的衬底有害的微粒污染源。例如,如果颗粒污染物随时间推移而累积,则颗粒污染物可能会剥落并落到衬底的表面上。
尽管在监控化学工艺过程中需要小心谨慎,但通常情况下,不需要的残留物会沉积在工艺进行所在的处理室的内表面上。遗憾的是,不需要的残留物沉积在处理室的壁内和周围。随着时间的推移,处理室内残留物的积累不仅使工艺不可靠并偏离基线,而且由于随着时间的推移在处理室内表面上积累的颗粒污染,导致衬底劣化、有缺陷。在没有频繁的清洁程序的情况下,沉积在室内表面上的残留物中的杂质会迁移到衬底上。此外,工艺蚀刻速率或沉积速率会随时间推移而变化,这是由于残留物积累而导致的室条件变化导致工艺性能失控。室内表面上沉积物的积累会导致环境不一致,从而影响正在执行的处理操作。也就是说,沉积物的积累随着每次处理操作而增加。因此,每个连续的处理操作不会以相同的室条件开始。因而,每个连续处理操作的改变的起始条件导致最终超过可接受限度的变化,从而导致蚀刻速率漂移、关键尺寸漂移、轮廓漂移等。
解决这些问题的一种尝试包括在部件制造过程中以及在安装到处理室之前将涂层施加到部件上。然而,涂层不是在处理室的处理温度下施加的,因为涂层是在将部件安装到处理室中之前施加的。因此,涂层会在处理室内部的处理温度下与大气产生不利的反应。此外,部件上的涂层在安装到室之前会暴露并容易受到损坏。
解决上述问题的另一种尝试包括在安装过程中施加预涂层。然而,预涂层限于通过系统常规沉积的膜。因此,所需的涂层可能不是由工具运行的涂层。
这里提供的背景描述是为了总体呈现本公开主题的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。因此,提供本节中描述的信息是为了为技术人员提供以下公开主题的背景,不应将其视为公认的现有技术。因此,提供本节中描述的信息以向技术人员提供以下公开主题的背景,不应将其视为公认的现有技术。
附图说明
为了便于识别对任何特定要素或行为的讨论,附图标记中的一个或多个最高有效数字是指首次引入该要素的数字。
图1说明了根据一个示例性实施方案的原位沉积系统。
图2说明了根据另一个示例性实施方案的原位沉积系统。
图3说明了根据另一个示例性实施方案的原位沉积系统。
图4是说明根据一个示例性实施方案的用于原位涂覆工艺的方法的流程图。
图5是说明根据另一示例性实施方案的原位涂覆工艺的方法的流程图。
图6是说明根据另一示例性实施方案的用于原位涂覆工艺的方法的流程图。
图7说明了根据一个示例性实施方案的例程700。
图8是根据示例性实施方案的计算机系统形式的机器的图解表示,其中可以执行一组指令以使机器执行本文讨论的任何一个或多个方法。
具体实施方式
以下描述描述了说明本主题的示例性实施方案的系统、方法、技术、指令序列和计算机程序产品。在以下描述中,出于解释的目的,阐述了许多具体细节以便提供对本主题的各种实施方案的理解。然而,对于本领域的技术人员来说显而易见的是,可以在没有这些具体细节中的一些或其它的情况下实践本主题的实施方案。示例仅代表可能的变化。除非另有明确说明,否则结构(例如,结构部件,例如模块)是可选的,可以组合或细分,并且(例如,在过程、算法或其他功能中的)操作可以按顺序变化或组合或细分。
术语“处理室”在本文中用于指代用于处理衬底的室或外壳。
术语“衬底”在本文中用于指代处理表面,例如半导体晶片。
术语“气体入口”在本文中用于指代与处理室的气体输入连接。
术语“分配器”在本文中用于指代用于移动气体或控制气体流量的泵或机构。
术语“管形瓶”在本文中用于指代用于储存气体的容器。
本文使用的术语“气源管线”是指用于从气体源输送气体的管道。
本公开描述了一种反应器系统,该反应器系统包括处理室、气体入口和分配器。分配器耦合到气体入口。分配器控制从管形瓶到气体入口的气流。管形瓶包括涂层材料,涂层材料当在反应系统的操作条件下在处理室内释放时涂覆处理室的内壁。
图1说明了根据一个示例性实施方案的原位沉积系统。作为示例,本文提供的沉积技术可以在等离子体增强化学气相沉积(PECVD)反应器或保形膜沉积(CFD)反应器中实施。这样的反应器可以采取多种形式并且可以是包括一个或多个室或反应器的装置的一部分——有时包括多个站——每个可以容纳一个或多个晶片并且可以被配置为执行各种晶片操作。一个或多个室可以将晶片保持在一个或多个限定位置(在该位置内有或没有运动,例如旋转、振动或其他搅动)。在一种实现方案中,在所公开的实施方案中执行的操作之前,可以在工艺期间将经历膜沉积的晶片从反应器内的一个站转移到另一个站或室。在其他实现方案中,晶片可以在装置内从一个室转移到另一个室以执行不同的操作。用于任何沉积步骤的完全沉积或总膜厚度的任何部分可以完全在单个站发生。当在工艺中时,每个晶片可以通过基座、晶片卡盘和/或其他晶片保持装置保持在适当位置。对于要加热晶片的某些操作,该装置可以包括加热器,例如加热板。由加利福尼亚州弗里蒙特的Lam ResearchCorp.生产的VectorTM(例如,C3 Vector)或SequelTM(例如,C2 Sequel)反应器都是可用于实施本文所述技术的合适反应器的示例。
图1提供了描绘为实施本文描述的方法而布置的各种反应器部件的框图。如图所示,反应器系统100包括处理室136,该处理室136包围反应器系统100的其他部件并用于容纳由电容放电型系统产生的等离子体,该系统包括与接地加热器块132一起工作的喷头108。高频(HF)射频(RF)HFRF发生器102和低频(LF)射频(RF)LFRF发生器104连接到匹配网络106和喷头108。由匹配网络106提供的功率和频率可能足以从供应到处理室136的源气体(也称为工艺气体)产生等离子体。在典型的处理中,HFRF分量通常可以在5MHz到60MHz之间,例如,13.56MHz。在存在LF分量的操作中,LF分量可以从大约100kHz到2MHz,例如430kHz。
在处理室136内,衬底支撑件(例如,基座130)支撑衬底(例如,晶片128)。基座130包括卡盘、叉(未示出)或升降销(未示出)以在操作之间保持和传送晶片128进出处理室136。卡盘可以是静电卡盘、机械卡盘或可用于工业和/或研究的各种其他类型的卡盘。
多个源气体管线(例如,气体管线118、气体管线120)连接到歧管122。源气体可以或可以不预混合。可以采用相应的阀和质量流量控制机构(例如,阀110、阀116)以确保在工艺中每个操作的沉积和等离子体处理阶段期间输送正确的源气体。在化学前体以液体形式输送的情况下,可以采用液体流动控制机制。然后在到达处理室136之前,这些液体可以在运输期间在被加热到以液体形式供应的化学前体的汽化点以上的歧管中被蒸发并与源气体混合。气体入口124引入组合的源气体(例如,来自气体管线118和气体管线120的组合的源气体)到处理室中。
分配器114连接到气体入口124。分配器114分配包含在耦合到分配器114的管形瓶126中的化学物质,例如TMA、锌、镁或氟。在一个示例性实施方案中,在管形瓶126中的前体包括涂覆处理室136内壁的化学品(例如TMA)。这些涂层防止衬底材料(例如铝)的扩散和/或释放,防止化学侵蚀(例如氟),提供所需的电性能,或修复表面损坏(例如,通过原位清洁)。在其他示例中,管形瓶126包含:
·TMA,其用于沉积铝氧化物和氮化物
·挥发性金属,如镁和锌,其用于增加这些金属的表面浓度,以进行初始调理和再调理
·用于清洁的活性化学品(氟化合物)和溶剂
因此,分配器114解决了在处理室136外部施加涂层的问题,因为涂层可以在反应器系统100的正常操作条件下施加。因此,处理室136的内壁的涂层不会因搬运或暴露在大气中而损坏。
工艺气体可以通过出口112离开处理室136。真空泵134(例如,一级或二级机械干泵和/或涡轮分子泵)可用于将工艺气体抽出处理室136并且通过使用诸如节流阀或摆阀之类的闭环控制的限流设备(未示出)来维持处理室136内的适当低压。
如上所述,本文讨论的沉积技术可以在多站或单站工具上实施。在具体实现方案中,可以使用具有4站沉积方案的300mm Lam VectorTM工具或具有6站沉积方案的200mmSequelTM工具。在一些实现方案中,可以使用用于处理450mm晶片的工具。在各种实现方案中,可以在每个沉积工艺之后将晶片转位,或者如果蚀刻室或台也是同一工具的一部分,则可以在蚀刻步骤之后将晶片转位,或者在将晶片转位之前可以在单个站处进行多次沉积和处理。在一些实现方案中,可以在沉积每一层之后,例如在沉积底层之后,或在沉积原子级平滑层之后,将晶片转位。
在一些实施方案中,可以提供一种装置,其被配置为执行本文所述的技术。根据公开的实施方案,合适的装置可以包括用于执行各种工艺操作的硬件以及具有用于控制工艺操作的指令的系统控制器138。系统控制器138包括一个或多个存储器设备和一个或多个处理器,它们与各种工艺控制设备(例如阀、RF发生器、晶片处理系统等)通信连接,并被配置为执行指令,使得该装置将执行根据所公开的实施方案的技术,诸如例如在图4的操作中提供的技术之类的技术。根据本公开的包含用于控制工艺操作的指令的机器可读介质可以耦合到系统控制器138。系统控制器138可以与各种硬件设备(例如分配器114、质量流量控制器、阀、RF发生器、真空泵等)通信连接,以便于控制与本文所述的沉积操作相关的各种工艺参数。
在一些实施方案中,系统控制器138控制反应器系统100的所有活动。系统控制器138可以执行存储在大容量存储设备中、加载到存储器设备中并在处理器上执行的系统控制软件。替代地,控制逻辑可硬编码在系统控制器138中。专用集成电路、可编程逻辑器件(例如,现场可编程门阵列或FPGA)等可用于这些目的。在以下讨论中,无论在何处使用“软件”或“代码”,都可以使用功能相当的硬编码逻辑来代替它。系统控制软件可以包括用于控制从管形瓶126分配化学品的时序、气流的时序、晶片移动、RF发生器激活等的指令,以及用于控制气体混合物、室和/或站压力、室和/或站温度、晶片温度、目标功率等级、RF功率等级、衬底基座、卡盘和/或底座位置,以及由反应器系统100执行的特定工艺的其他参数的指令。可以以任何合适的方式配置系统控制软件。例如,可以编写各种处理工具部件子例程或控制对象以控制执行各种处理工具工艺所必需的处理工具部件的操作。系统控制软件可以任何合适的计算机可读编程语言编码。
系统控制器138通常可以包括一个或多个存储器设备和一个或多个处理器,其被配置为执行指令,使得该装置将执行根据本公开的技术。根据公开的实施方案,包含用于控制工艺操作的指令的机器可读介质可以耦合到系统控制器138。
本文描述的方法和设备可以与光刻图案化工具或工艺(例如下文描述的用于生产或制造半导体器件、显示器、LED、光伏面板等的那些)结合使用。通常,但不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤用多个可行的工具执行:(1)使用旋涂或喷涂工具在工件(例如,在所公开的实施方案中提供的衬底或多层堆叠件)涂覆光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或UV或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到下伏的膜或工件(例如无定形碳底层)上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
图2说明了根据另一示例性实施方案的原位沉积系统。反应器系统100包括处理室136,该处理室136包围反应器系统100的其他部件并用于容纳由电容放电型系统产生的等离子体,该系统包括与接地加热器块132一起工作的喷头108。电源包括高频(HF)射频(RF)HFRF发生器102和低频(LF)射频(RF)LFRF发生器104。HFRF发生器102和LFRF发生器104都连接到匹配网络106。匹配网络106连接到顶部电极(例如,喷头108)。由匹配网络106提供的功率和频率可能足以从供应到处理室136的工艺气体产生等离子体。在典型的处理中,HFRF分量通常可以在5MHz到60MHz之间,例如,13.56MHz。在存在LF分量的操作中,LF分量可以从大约100kHz到2MHz,例如430kHz。
在处理室136内,基座130支撑维护衬底202。涂层材料204被施加到维护衬底202的表面。在反应器系统100的处理期间,涂层材料204从维护衬底202释放并形成涂覆处理室136内壁的膜。该膜提供诸如减少颗粒或清洁的益处。在一个示例性实施方案中,涂层材料204包括具有保护性牺牲氧化物层的镁和锌,该保护性牺牲氧化物层可以被处理反应器去除。
可以通过气体入口124引入各种工艺气体。多个源气体管线(例如,气体管线118、气体管线120)连接到歧管122。这些气体可以预混合或可以不预混合。可以采用相应的阀和质量流量控制机构(例如,阀110、阀116)以确保在工艺中每个操作的沉积和等离子体处理阶段期间输送正确的工艺气体。在化学前体以液体形式输送的情况下,可以采用液体流动控制机制。然后在到达处理室136之前,这些液体可以在运输期间在被加热到以液体形式供应的化学前体的汽化点以上的歧管中被蒸发并与工艺气体混合。
工艺气体可以通过出口112离开处理室136。真空泵134(例如,一级或二级机械干泵和/或涡轮分子泵)可用于将工艺气体抽出处理室136并且通过使用诸如节流阀或摆阀之类的闭环控制的限流设备(未示出)来维持处理室136内的适当低压。
图3说明了根据另一个示例性实施方案的原位沉积系统。反应器系统300结合了反应器系统100和反应器系统200的元件。特别地,反应器系统300包括图1的分配器114和管形瓶126以及图2的维护衬底202和涂层材料204。因此,反应器系统200在处理室136中将第一涂层材料(来自管形瓶126)与第二涂层材料(来自涂层材料204)组合。
在一些实施方案中,可以提供一种装置,该装置被配置为执行本文所述的技术。根据公开的实施方案,合适的装置可以包括用于执行各种过程操作的硬件以及具有用于控制工艺操作的指令的系统控制器138。系统控制器138包括一个或多个存储器设备和一个或多个处理器,其与各种工艺控制设备(例如分配器114、阀、RF发生器、晶片搬运系统等)通信连接,并且被配置为执行指令以使装置将执行根据所公开的实施方案的技术,例如,诸如在图6的操作中提供的技术。根据本公开的包含用于控制工艺操作的指令的机器可读介质可以耦合到系统控制器138。系统控制器138可以与各种硬件设备(例如分配器114、质量流量控制器、阀、RF发生器、真空泵等)通信连接,以便于控制与本文所述的沉积操作相关的各种工艺参数。
在一个示例性实施方案中,系统控制器138控制分配器114以基于维护衬底202中的涂层材料204调整来自管形瓶126的气流。
图4是说明根据一个示例性实施方案的用于原位涂覆工艺的方法400的流程图。应当注意,其他实施方案可以使用不同的顺序、额外或更少的操作以及不同的命名法或术语来完成类似的功能。在一些实施方案中,可以以同步或异步方式与其他操作并行地执行各种操作。选择本文所述的操作以便以简化的形式说明操作的一些原理。
在框402,分配器114耦合到处理室136的气体入口124。分配器114包括涂层材料(例如,前体)。在框404,分配器114在处理室136的操作条件期间分配处理室136内的涂层材料。在框406,将处理室136内的气体在工艺之后(从操作条件)清除。
图5是说明根据另一个示例性实施方案的用于原位涂覆工艺的方法500的流程图。在框502,将涂层材料204施加到维护衬底202(例如,在工艺之间使用以“更新”反应器系统200的晶片)的表面。在框504,反应器系统200在处理室136的操作条件下处理维护衬底202。该工艺导致将涂层材料施加到处理室136的内壁。在框506,(在常规操作条件下)在操作另一个晶片之前将维护衬底202从处理室136中移除。
图6是说明根据另一示例性实施方案的用于原位涂覆工艺的方法600的流程图。在框602,分配器114耦合到处理室136的气体入口124。分配器114包括涂层材料(例如,前体)。在框604,将涂层材料204施加到维护衬底202(例如,在工艺之间使用以“更新”反应器系统200的晶片)的表面。在框606,分配器114在处理室136的操作条件期间分配处理室136内的涂层材料。在框608,反应器系统200在处理室136的操作条件下处理维护衬底202。在框610,将处理室136内的气体在工艺之后(从操作条件)清除。在框612,(在常规操作条件下)在操作另一衬底之前,将维护衬底202从处理室136中移除。
图7说明了根据一个示例性实施方案的例程700。在框702中,例程700控制从与分配器连接的管形瓶到处理室的气体入口的气流,该管形瓶包含涂层材料,该涂层材料当在反应系统的操作条件下释放到处理室内部时,涂覆处理室的内壁。在框704中,例程700在等离子体增强化学气相沉积工艺的操作条件下操作反应器系统。
图8是机器800的图解表示,可以在其中执行指令808(例如,软件、程序、应用程序、小应用程序、app或其他可执行代码)用于使机器800执行本文所讨论的任何一种或多种方法。例如,指令808可以使机器800执行本文描述的任何一种或多种方法。指令808将通用的、未编程的机器800转换成特定的机器800,该机器800被编程为以所描述的方式执行所描述和说明的功能。机器800可以作为独立设备操作或者可以耦合(例如,联网)到其他机器。在联网部署中,机器800可以在服务器-客户端网络环境中以服务器机器或客户端机器的身份运行,或者作为对等(或分布式)网络环境中的对等机器运行。机器800可以包括但不限于服务器计算机、客户端计算机、个人计算机(PC)、平板计算机、膝上型计算机、上网本、机顶盒(STB)、PDA、娱乐媒体系统、蜂窝电话、智能手机、移动设备、可穿戴设备(例如,智能手表)、智能家居设备(例如,智能电器)、其他智能设备、网络设施、网络路由器、网络交换机、网桥或任何能够按顺序或以其他方式执行指令808的机器,这些指令指定机器800要采取的动作。此外,虽然仅示出了单个机器800,但术语“机器”也应被视为包括单独或联合执行指令808以执行本文讨论的任何一个或多个方法的机器的集合。
机器800可以包括处理器802、存储器804和I/O部件842,它们可以被配置为通过总线844相互通信。在示例性实施方案中,处理器802(例如,中央处理单元(CPU)、精简指令集计算(RISC)处理器、复杂指令集计算(CISC)处理器、图形处理单元(GPU)、数字信号处理器(DSP)、ASIC、射频集成电路(RFIC)、另一处理器或其任何合适的组合)可以包括例如执行指令808的处理器806和处理器810。术语“处理器”旨在包括多核处理器,该多核处理器可以包括可以同时执行指令的两个或更多个独立处理器(有时称为“核”)。尽管图8示出了多个处理器802,但机器800可以包括具有单个核的单个处理器、具有多个核的单个处理器(例如,多核处理器)、具有单个核的多个处理器、具有多个核的多个处理器或其任何的组合。
存储器804包括主存储器812、静态存储器814和存储单元816,两者处理器802都可以通过总线844访问。主存储器804、静态存储器814和存储单元816存储指令808,指令808体现本文描述的任何一种或多种方法或功能。在机器800执行期间,指令808还可以完全或部分地驻留在主存储器812内、静态存储器814内、存储单元816内的机器可读介质818内、处理器802中的至少一个内(例如,在处理器的高速缓冲存储器内)、或其任何合适的组合内。
I/O部件842可以包括各种各样的部件以接收输入、提供输出、产生输出、传输信息、交换信息、捕获测量等等。特定机器中包括的特定I/O部件842将取决于机器的类型。例如,诸如移动电话之类的便携式机器可以包括触摸输入设备或其他这样的输入机制,而无头服务器机器可能不包括这样的触摸输入设备。应当理解,I/O部件842可以包括图8中未示出的许多其他部件。在各种示例性实施方案中,I/O部件842可以包括输出部件828和输入部件830。输出部件828可以包括视觉部件(例如,显示器,诸如等离子体显示面板(PDP)、发光二极管(LED)显示器、液晶显示器(LCD)、投影仪或阴极射线管(CRT))、声学部件(例如扬声器)、触觉部件(例如振动电机、电阻机构)、其他信号发生器,等等。输入部件830可以包括字母数字输入组件(例如,键盘、被配置为接收字母数字输入的触摸屏、光电键盘或其他字母数字输入部件)、基于点的输入部件(例如,鼠标、触摸板、轨迹球、操纵杆、运动传感器或其他指示工具)、触觉输入部件(例如,物理按钮、提供触摸或触摸手势的位置和/或力的触摸屏,或其他触觉输入部件),音频输入部件(例如,麦克风)等。
在其它示例性实施方案中,I/O部件842可以包括生物特征部件832、运动部件834、环境部件836或位置部件838,以及各种其他部件。例如,生物特征部件832包括用于检测表情(例如,手部表情、面部表情、声音表达、身体姿势或眼睛跟踪)、测量生物信号(例如,血压、心率、体温、汗水或大脑波)、识别人(例如,语音识别、视网膜识别、面部识别、指纹识别或基于脑电图的识别)等的部件。运动部件834包括加速度传感器部件(例如,加速度计)、重力传感器部件、旋转传感器部件(例如,陀螺仪)等等。环境部件836包括例如照明传感器部件(例如,光度计)、温度传感器部件(例如,一个或多个检测环境温度的温度计)、湿度传感器部件、压力传感器部件(例如,气压计)、声学传感器部件(例如,一个或多个检测背景噪声的麦克风)、接近传感器部件(例如,检测附近物体的红外传感器)、气体传感器(例如,用于检测危险气体浓度以确保安全或测量大气中污染物的气体检测传感器),或其他可提供与周围物理环境相对应的指示、测量或信号的部件。位置部件838包括位置传感器部件(例如,GPS接收器部件)、高度传感器部件(例如,检测可以从中导出高度的气压的高度计或气压计)、方向传感器部件(例如,磁力计)等。
可以使用多种技术来实现通信。I/O部件842还包括通信部件840,通信部件840可操作以分别通过耦合824和耦合826将机器800耦合到网络820或设备822。例如,通信部件840可以包括网络接口部件或与网络820接口的另一合适的设备。在其它示例中,通信部件840可以包括有线通信部件、无线通信部件、蜂窝通信部件、近场通信(NFC)部件、
Figure BDA0003783943540000121
部件(例如,
Figure BDA0003783943540000122
Low Energy)、
Figure BDA0003783943540000123
部件和其他通信部件,以通过其他模式提供通信。设备822可以是另一台机器或多种外围设备中的任何一种外围设备(例如,通过USB耦合的外围设备)。
此外,通信部件840可以检测标识符或包括可操作以检测标识符的部件。例如,通信部件840可以包括射频识别(RFID)标签读取器部件、NFC智能标签检测部件、光学读取器部件(例如,光学传感器,其用于检测诸如通用产品代码(UPC)条形码之类的一维条形码,多维条形码,例如快速响应(QR)码、Aztec码、Data Matrix、Dataglyph、MaxiCode、PDF417、Ultra Code、UCC RSS-2D条形码和其他光学代码)或声学检测部件(例如,麦克风,其用于识别标记的音频信号)。此外,可以通过通信部件840导出各种信息,例如通过互联网协议(IP)地理定位导出的位置、通过
Figure BDA0003783943540000124
信号三角测量导出的位置、通过检测可指示特定位置的NFC信标信号导出的位置、等等。
各种存储器(例如,存储器804、主存储器812、静态存储器814和/或处理器802的存储器)和/或存储单元816可以存储一组或多组体现本文描述的任何一种或多种方法或功能或由本文描述的任何一种或多种方法使用的指令和数据结构(例如,软件)。这些指令(例如,指令808)在由处理器802执行时导致各种操作以实现所公开的实施方案。
指令808可以通过网络820、使用传输介质、经由网络接口设备(例如,包括在通信部件840中的网络接口部件)并使用多种已知的传输协议(例如,超文本传输协议(HTTP))中的任何一种来发送或接收。类似地,可以使用传输介质经由耦合826(例如,对等耦合)向设备822传输或接收指令808。
以上描述包括体现所公开主题的说明性示例、设备、系统和方法。在描述中,出于解释的目的,阐述了许多具体细节以便提供对所公开主题的各种实施方案的理解。然而,对于本领域的普通技术人员来说显而易见的是,可以在没有这些具体细节的情况下实践本主题的各种实施方案。此外,没有详细示出众所周知的结构、材料和技术,以免使各种说明的实施方案难以理解。
如本文所使用的,术语“或”可以以包容性或排他性的意义解释。此外,本领域普通技术人员在阅读和理解所提供的公开内容后将理解其他实施方案。此外,在阅读和理解本文提供的公开内容后,本领域普通技术人员将容易理解本文提供的技术和示例的各种组合都可以以各种组合应用。
尽管单独讨论了各种实施方案,但这些单独的实施方案并不旨在被视为独立的技术或设计。如上所述,各个部分中的每一个可以是相互关联的,并且每一个可以单独使用或与本文讨论的其他颗粒物质传感器校准系统实施方案结合使用。
因此,可以进行许多修改和变化,这对于本领域普通技术人员在阅读和理解本文提供的公开内容后将是显而易见的。除了在此列举的那些之外,根据前面的描述,在本公开范围内的功能等效的方法和设备对于本领域技术人员来说将是显而易见的。一些实施方案的部分和特征可以被包括在其他实施方案的那些中,或被其他实施方案的那些替代。这样的修改和变化旨在落入所附权利要求的范围内。因此,本公开仅受所附权利要求的条款以及这些权利要求所享有的等同方案的全部范围的限制。还应理解,本文使用的术语仅出于描述特定实施方案的目的,并不旨在进行限制。
提供本公开的摘要以使得读者能够快速确定技术公开内容的特点。提交摘要时理解它不会用于解释或限制权利要求。此外,在前述详细描述中,可以看出,为了简化公开的目的,可以在单个实施方案中将各种特征组合在一起。该公开方法不应被解释为限制权利要求。因此,以下权利要求在此并入详细说明中,每个权利要求作为单独的实施方案独立存在。
实施例
实施例1包括一种反应器系统,其包括:处理室;气体入口,其连接到所述处理室;以及分配器,其耦合到所述气体入口,所述分配器控制从管形瓶到所述气体入口的气流,所述管形瓶包括第一涂层材料,所述第一涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,涂覆所述处理室的内壁。
实施例2包括实施例1,其还包括:系统控制器,其具有彼此通信连接的处理器和存储器,所述处理器与控制所述第一涂层材料的所述气流的所述分配器操作性地连接,所述存储器存储计算机可执行指令,所述计算机可执行指令包括:控制所述分配器以在所述处理室内释放所述第一涂层材料;以及在等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
实施例3包括实施例1,其还包括:多个源气体管线,其通过歧管连接到所述气体入口,所述歧管被配置为组合来自所述多个源气体管线的源气体并控制所述组合的源气体的流动;与电源连通的顶部电极;以及被配置为接收衬底的衬底支撑件,系统控制器,其具有彼此通信连接的处理器和存储器,所述处理器与所述歧管、所述电源和控制所述第一涂层材料的所述气流的所述分配器操作性地连接,所述存储器存储计算机可执行指令,所述计算机可执行指令包括:在等离子体增强化学气相沉积工艺的操作条件下调节所述组合的源气体的流动;控制所述分配器以在所述处理室内释放所述第一涂层材料;以及在等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
实施例4包括实施例3,其中调节所述组合的源气体的流动包括:防止所述组合的源气体流入所述处理室中。
实施例5包括实施例3,其中调节所述组合的源气体的流动包括:使所述组合的源气体与所述第一涂层材料组合到所述处理室中。
实施例6包括实施例3,其中所述电源包括:低频射频(LFRF)发生器;高频射频(HFRF)发生器;以及匹配网络,其中所述内壁由由铝、陶瓷、涂有陶瓷的铝和涂有碳化硅的铝组成的群组形成。
实施例7包括实施例3,其中,所述衬底包括维护晶片,所述维护晶片包括第二涂层材料,所述第二涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,与所述第一涂层材料组合并涂覆所述处理室的所述内壁。
实施例8是一种方法,其包括:控制从与分配器连接的管形瓶到处理室的气体入口的气流,所述管形瓶包含第一涂层材料,所述第一涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,涂覆所述处理室的内壁;以及在等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
实施例9包括实施例8,其中所述反应器系统包括:多个源气体管线,其通过歧管连接到所述气体入口,所述歧管被配置成组合来自所述多个源气体管线的源气体并控制所述组合的源气体的流动;与电源连通的顶部电极;以及被配置为接收衬底的衬底支撑件,系统控制器,其具有彼此通信连接的处理器和存储器,所述处理器与所述歧管、所述电源和控制所述第一涂层材料的所述气流的所述分配器操作性地连接,所述存储器存储计算机可执行指令,所述计算机可执行指令包括:在等离子体增强化学气相沉积工艺的操作条件下调节所述组合的源气体的流动;控制所述分配器以在所述处理室内释放所述第一涂层材料;以及在等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
实施例10包括实施例9,其中调节所述组合的源气体的流动包括:防止所述组合的源气体流入所述处理室中。
实施例11包括实施例9,其中调节所述组合的源气体的流动包括:使所述组合的源气体与所述第一涂层材料组合到所述处理室中。
实施例12包括实施例9,其中所述电源包括:低频射频(LFRF)发生器;高频射频(HFRF)发生器;以及匹配网络,其中所述内壁由由铝、陶瓷、涂有陶瓷的铝和涂有碳化硅的铝组成的群组形成。
实施例13包括实施例9,其中,所述衬底包括维护晶片,所述维护晶片包括第二涂层材料,所述第二涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,涂覆所述处理室的所述内壁。
实施例14是一种计算机可读存储介质,该计算机可读存储介质包括指令,所述指令当由计算机执行时,使所述计算机执行操作,所述操作包括:控制从与分配器连接的管形瓶到处理室的气体入口的气流,所述管形瓶包含第一涂层材料,所述第一涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,涂覆所述处理室的内壁;以及在所述等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
实施例15包括实施例14,其中所述反应器系统包括:多个源气体管线,其通过歧管连接到所述气体入口,所述歧管被配置成组合来自所述多个源气体管线的源气体并控制所述组合的源气体的流动;与电源连通的顶部电极;以及被配置为接收衬底的衬底支撑件,其中,所述操作包括:在等离子体增强化学气相沉积工艺的操作条件下调节所述组合的源气体的流动;控制所述分配器以在所述处理室内释放所述第一涂层材料;以及在等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
实施例16包括实施例15,其中调节所述组合的源气体的流动包括:防止所述组合的源气体流入所述处理室中。
实施例17包括实施例15,其中调节所述组合的源气体的流动包括:使所述组合的源气体与所述第一涂层材料组合到所述处理室中。
实施例18包括实施例15,其中所述电源包括:低频射频(LFRF)发生器;高频射频(HFRF)发生器;以及匹配网络。
实施例19包括实施例14,其中所述内壁由由铝、陶瓷、涂有陶瓷的铝和涂有碳化硅的铝组成的群组形成。
实施例20包括实施例15,其中,所述衬底包括维护晶片,所述维护晶片包括第二涂层材料,所述第二涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,涂覆所述处理室的所述内壁。

Claims (20)

1.一种反应器系统,其包括:
处理室;
气体入口,其连接到所述处理室;以及
分配器,其耦合到所述气体入口,所述分配器控制从管形瓶到所述气体入口的气流,所述管形瓶包括第一涂层材料,所述第一涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,涂覆所述处理室的内壁。
2.根据权利要求1所述的反应器系统,其还包括:
系统控制器,其具有彼此通信连接的处理器和存储器,所述处理器与控制所述第一涂层材料的所述气流的所述分配器操作性地连接,
所述存储器存储计算机可执行指令,所述计算机可执行指令包括:
控制所述分配器以在所述处理室内释放所述第一涂层材料;以及
在等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
3.根据权利要求1所述的反应器系统,其还包括:
多个源气体管线,其通过歧管连接到所述气体入口,所述歧管被配置成组合来自所述多个源气体管线的源气体并控制所述组合的源气体的流动;
与电源连通的顶部电极;以及
被配置为接收衬底的衬底支撑件,
系统控制器,其具有彼此通信连接的处理器和存储器,所述处理器与所述歧管、所述电源和控制所述第一涂层材料的所述气流的所述分配器操作性地连接,
所述存储器存储计算机可执行指令,所述计算机可执行指令包括:
在等离子体增强化学气相沉积工艺的操作条件下调节所述组合的源气体的流动;
控制所述分配器以在所述处理室内释放所述第一涂层材料;以及
在等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
4.根据权利要求3所述的反应器系统,其中调节所述组合的源气体的流动包括:
防止所述组合的源气体流入所述处理室中。
5.根据权利要求3所述的反应器系统,其中调节所述组合的源气体的流动包括:
使所述组合的源气体与所述第一涂层材料组合到所述处理室中。
6.根据权利要求3所述的反应器系统,其中所述电源包括:
低频射频(LFRF)发生器;
高频射频(HFRF)发生器;以及
匹配网络,
其中所述内壁由由铝、陶瓷、涂有陶瓷的铝和涂有碳化硅的铝组成的群组形成。
7.根据权利要求3所述的反应器系统,其中,所述衬底包括维护晶片,所述维护晶片包括第二涂层材料,所述第二涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,与所述第一涂层材料组合并涂覆所述处理室的所述内壁。
8.一种方法,其包括:
控制从与分配器连接的管形瓶到处理室的气体入口的气流,所述管形瓶包含第一涂层材料,所述第一涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,涂覆所述处理室的内壁;以及
在等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
9.根据权利要求8所述的方法,其中所述反应器系统包括:
多个源气体管线,其通过歧管连接到所述气体入口,所述歧管被构造成组合来自所述多个源气体管线的源气体并控制所述组合的源气体的流动;
与电源连通的顶部电极;以及
被配置为接收衬底的衬底支撑件,
系统控制器,其具有彼此通信连接的处理器和存储器,所述处理器与所述歧管、所述电源和控制所述第一涂层材料的所述气流的所述分配器操作性地连接,
所述存储器存储计算机可执行指令,所述计算机可执行指令包括:
在等离子体增强化学气相沉积工艺的操作条件下调节所述组合的源气体的流动;
控制所述分配器以在所述处理室内释放所述第一涂层材料;以及
在等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
10.根据权利要求9所述的方法,其中调节所述组合的源气体的流动包括:
防止所述组合的源气体流入所述处理室中。
11.根据权利要求9所述的方法,其中调节所述组合的源气体的流动包括:
使所述组合的源气体与所述第一涂层材料组合到所述处理室中。
12.根据权利要求9所述的方法,其中所述电源包括:
低频射频(LFRF)发生器;
高频射频(HFRF)发生器;以及
匹配网络,
其中所述内壁由由铝、陶瓷、涂有陶瓷的铝和涂有碳化硅的铝组成的群组形成。
13.根据权利要求9所述的方法,其中,所述衬底包括维护晶片,所述维护晶片包括第二涂层材料,所述第二涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,涂覆所述处理室的所述内壁。
14.一种计算机可读存储介质,所述计算机可读存储介质包括指令,所述指令当由计算机执行时,使所述计算机执行操作,所述操作包括:
控制从与分配器连接的管形瓶到处理室的气体入口的气流,所述管形瓶包含第一涂层材料,所述第一涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,涂覆所述处理室的内壁;以及
在等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
15.根据权利要求14所述的计算机可读存储介质,其中所述反应器系统包括:
多个源气体管线,其通过歧管连接到所述气体入口,所述歧管被配置为组合来自所述多个源气体管线的源气体并控制所述组合的源气体的流动;
与电源连通的顶部电极;以及
被配置为接收衬底的衬底支撑件,
其中,所述操作包括:
在等离子体增强化学气相沉积工艺的操作条件下调节所述组合的源气体的流动;
控制所述分配器以在所述处理室内释放所述第一涂层材料;以及
在等离子体增强化学气相沉积工艺的操作条件下操作所述反应器系统。
16.根据权利要求15所述的计算机可读存储介质,其中调节所述组合的源气体的流动包括:
防止所述组合的源气体流入所述处理室中。
17.根据权利要求15所述的计算机可读存储介质,其中调节所述组合的源气体的流动包括:
使所述组合的源气体与所述第一涂层材料组合到所述处理室中。
18.根据权利要求15所述的计算机可读存储介质,其中所述电源包括:
低频射频(LFRF)发生器;
高频射频(HFRF)发生器;以及
匹配网络。
19.根据权利要求14所述的计算机可读存储装置,其中所述内壁由由由铝、陶瓷、涂有陶瓷的铝和涂有碳化硅的铝组成的群组形成。
20.根据权利要求15所述的计算机可读存储介质,其中,所述衬底包括维护晶片,所述维护晶片包括第二涂层材料,所述第二涂层材料当在所述反应系统的操作条件下在所述处理室内释放时,涂覆所述处理室的所述内壁。
CN202180013050.1A 2020-02-07 2021-02-04 处理室的原位表面涂层 Pending CN115053015A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062971779P 2020-02-07 2020-02-07
US62/971,779 2020-02-07
PCT/US2021/016637 WO2021158803A1 (en) 2020-02-07 2021-02-04 In situ surface coating of process chamber

Publications (1)

Publication Number Publication Date
CN115053015A true CN115053015A (zh) 2022-09-13

Family

ID=77200362

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180013050.1A Pending CN115053015A (zh) 2020-02-07 2021-02-04 处理室的原位表面涂层

Country Status (6)

Country Link
US (1) US20230052089A1 (zh)
JP (1) JP2023513102A (zh)
KR (1) KR20220139356A (zh)
CN (1) CN115053015A (zh)
TW (1) TW202142730A (zh)
WO (1) WO2021158803A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2708533B2 (ja) * 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
KR100601034B1 (ko) * 2004-04-21 2006-07-14 주식회사 아이피에스 박막 증착 방법
KR20060027627A (ko) * 2004-09-23 2006-03-28 삼성전자주식회사 파우더성 파티클 발생을 억제시킬 수 있는 박막 증착 장치
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination

Also Published As

Publication number Publication date
JP2023513102A (ja) 2023-03-30
WO2021158803A1 (en) 2021-08-12
TW202142730A (zh) 2021-11-16
US20230052089A1 (en) 2023-02-16
KR20220139356A (ko) 2022-10-14

Similar Documents

Publication Publication Date Title
CN105702617B (zh) 承载环结构及包含该承载环结构的室系统
TWI493655B (zh) 藉由電漿氧化處理之輪廓及臨界尺寸均勻度控制
JP2012238846A (ja) 吸収係数および応力を低減しつつ炭素膜のエッチング選択性を改善する方法
CN105390389A (zh) 高深宽比结构中的触点清洁
US10023956B2 (en) Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
JP6262137B2 (ja) 統合管理システム、管理装置、基板処理装置の情報表示方法及びプログラム
KR20090091667A (ko) 기판의 처리방법, 컴퓨터 기억매체 및 기판처리 시스템
CN105448666A (zh) 利用二氧化硅的应力来改变晶圆硅片基体弯曲度的方法
KR20140034106A (ko) 증착 챔버들을 세정하기 위한 방법들 및 장치
TW201203142A (en) Methods for monitoring processing equipment
US20230052089A1 (en) In situ surface coating of process chamber
US10347464B2 (en) Cycle-averaged frequency tuning for low power voltage mode operation
US20210292894A1 (en) Temperature sensor for end point detection during plasma enhanced chemical vapor deposition chamber clean
CN109585332A (zh) 清洁腔室的方法、干式清洁系统及非暂态电脑可读取媒体
TW202015148A (zh) 改善雙重圖案化製程的方位角臨界尺寸不均勻性
TW202300678A (zh) 以氫自由基預處理之增強氧化
JP6320457B2 (ja) 基板処理装置、基板処理方法、プログラム、及び物品製造方法
WO2023244653A1 (en) Modulation of station voltages during plasma operations
JP2019071359A (ja) 被処理体を処理する方法
TWI682427B (zh) 用以等向性蝕刻緊密空間中的矽之無殘留物的系統及方法
US20100186663A1 (en) Methods and apparatus for protecting a substrate support in a semiconductor process chamber
JP2005310966A (ja) 基板処理方法及びプログラム
CN115812247A (zh) 晶片状态检测
WO2022150635A1 (en) Process fluid path switching in recipe operations
JP2024014505A (ja) 情報処理装置、プログラム及びプロセス条件最適化方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination